[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

Andersson et al., 2013 - Google Patents

Dual-V T 4kb sub-V T memories with< 1 pW/bit leakage in 65 nm CMOS

Andersson et al., 2013

Document ID
7755709810460947223
Author
Andersson O
Mohammadi B
Meinerzhagen P
Burg A
Rodrigues J
Publication year
Publication venue
2013 Proceedings of the ESSCIRC (ESSCIRC)

External Links

Snippet

Two standard-cell based subthreshold (sub-V T) memories (SCMs) are presented. The SCMs accomplish the task of robust sub-V T storage and fill the gap of missing sub-V T memory compilers. The storage elements (latches) of these SCMs are custom-designed …
Continue reading at ieeexplore.ieee.org (other versions)

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing, power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing, power reduction for memory cells of the field-effect type
    • G11C11/419Read-write circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write (R-W) timing or clocking circuits; Read-write (R-W) control signal generators or management
    • G11C7/227Timing of memory operations based on dummy memory elements or replica circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/06Sense amplifiers; Associated circuits, e.g. timing or triggering circuits
    • HELECTRICITY
    • H03BASIC ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C15/00Digital stores in which information comprising one or more characteristic parts is written into the store and in which information is read-out by searching for one or more of these characteristic parts, i.e. associative or content-addressed stores
    • G11C15/04Digital stores in which information comprising one or more characteristic parts is written into the store and in which information is read-out by searching for one or more of these characteristic parts, i.e. associative or content-addressed stores using semiconductor elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • HELECTRICITY
    • H03BASIC ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • H03K19/0016Arrangements for reducing power consumption by using a control or a clock signal, e.g. in order to apply power supply
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by G11C11/00
    • G11C5/14Power supply arrangements, e.g. Power down/chip (de)selection, layout of wiring/power grids, multiple supply levels
    • HELECTRICITY
    • H03BASIC ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/01Details
    • H03K3/012Modifications of generator to improve response time or to decrease power consumption

Similar Documents

Publication Publication Date Title
Kulkarni et al. Ultralow-voltage process-variation-tolerant Schmitt-trigger-based SRAM design
Sharma et al. A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications
Birla et al. Static noise margin analysis of various SRAM topologies
Gopal et al. 8T SRAM cell design for dynamic and leakage power reduction
Andersson et al. Dual-V T 4kb sub-V T memories with< 1 pW/bit leakage in 65 nm CMOS
Meinerzhagen et al. A 500 fW/bit 14 fJ/bit-access 4kb standard-cell based sub-V T memory in 65nm CMOS
Sachdeva et al. Design of low power half select free 10T static random-access memory cell
Sharma et al. High performance process variations aware technique for sub-threshold 8T-SRAM cell
Sachdeva et al. A soft-error resilient low power static random access memory cell
Aparna A study of different SRAM cell designs
Andersson et al. A 35 fJ/bit-access sub-V T memory using a dual-bit area-optimized standard-cell in 65 nm CMOS
Mohammad et al. A reduced voltage swing circuit using a single supply to enable lower voltage operation for SRAM-based memory
Maute et al. Design implementation of 10T static random access memory cell using stacked transistors for power dissipation reduction
Gupta et al. Ultra-compact SRAM design using TFETs for low power low voltage applications
Ren et al. Low power 6T-SRAM with tree address decoder using a new equalizer precharge scheme
Mishra et al. A low power 7T SRAM cell using supply feedback technique at 28nm CMOS technology
Shastri et al. Read write stability with leakage minimization of 4t SRAM cell for nano CMOS technology
Gupta et al. Stability analysis of different dual-port SRAM cells in deep submicron region using N-Curve Method
Verma et al. Design and analysis of 1-Kb 6T SRAM using different architecture
Fan et al. Re-addressing SRAM design and measurement for sub-threshold operation in view of classic 6T vs. standard cell based implementations
Sun et al. A 12T Low-Power Standard-Cell Based SRAM Circuit for Ultra-Low-Voltage Operations
Mohammadi et al. A 0.28-0.8 V 320 fW D-latch for sub-VT memories in 65 nm CMOS
Gupta et al. A 32-nm Sub-Threshold 9T SRAM Bitcell with Improved Read and Write performance
Verma et al. Design of a high performance and low power 1Kb 6T SRAM using bank partitioning method
Singh et al. Single ended static random access memory for low-vdd, high-speed embedded systems