[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

Tennakoon et al., 2005 - Google Patents

Efficient and accurate gate sizing with piecewise convex delay models

Tennakoon et al., 2005

View PDF
Document ID
6352373850410166353
Author
Tennakoon H
Sechen C
Publication year
Publication venue
Proceedings of the 42nd annual Design Automation Conference

External Links

Snippet

We present an efficient and accurate gate sizing tool that employs a novel piecewise convex delay model, handling both rise and fall delays, for static CMOS gates. The delay model is used in a new version of a gate-sizing tool called Forge, which not only exhibits optimality …
Continue reading at www.researchgate.net (PDF) (other versions)

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/50Computer-aided design
    • G06F17/5009Computer-aided design using simulation
    • G06F17/5022Logic simulation, e.g. for logic circuit operation
    • G06F17/5031Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/50Computer-aided design
    • G06F17/5009Computer-aided design using simulation
    • G06F17/5036Computer-aided design using simulation for analog modelling, e.g. for circuits, spice programme, direct methods, relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/50Computer-aided design
    • G06F17/5045Circuit design
    • G06F17/505Logic synthesis, e.g. technology mapping, optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/50Computer-aided design
    • G06F17/5068Physical circuit design, e.g. layout for integrated circuits or printed circuit boards
    • G06F17/5081Layout analysis, e.g. layout verification, design rule check
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/30Information retrieval; Database structures therefor; File system structures therefor
    • G06F17/30286Information retrieval; Database structures therefor; File system structures therefor in structured data stores
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F2217/00Indexing scheme relating to computer aided design [CAD]
    • G06F2217/78Power analysis and optimization
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F2217/00Indexing scheme relating to computer aided design [CAD]
    • G06F2217/70Fault tolerant, i.e. transient fault suppression
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F1/00Details of data-processing equipment not covered by groups G06F3/00 - G06F13/00, e.g. cooling, packaging or power supply specially adapted for computer application
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F2207/00Indexing scheme relating to methods or arrangements for processing data by operating upon the order or content of the data handled
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06QDATA PROCESSING SYSTEMS OR METHODS, SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL, SUPERVISORY OR FORECASTING PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL, SUPERVISORY OR FORECASTING PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management

Similar Documents

Publication Publication Date Title
Choi et al. Novel sizing algorithm for yield improvement under process variation in nanometer technology
Guthaus et al. Gate sizing using incremental parameterized statistical timing analysis
Ozdal et al. Gate sizing and device technology selection algorithms for high-performance industrial designs
Agarwal et al. Statistical clock skew analysis considering intradie-process variations
EP1964266B1 (en) A method for multi-cycle clock gating
Davoodi et al. Variability driven gate sizing for binning yield optimization
Ozdal et al. Algorithms for gate sizing and device parameter selection for high-performance designs
Tennakoon et al. Efficient and accurate gate sizing with piecewise convex delay models
US8713506B2 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce dynamic power in an electronic circuit and an apparatus incorporating the same
Gupta et al. Analytical models for RTL power estimation of combinational and sequential circuits
Lasbouygues et al. Temperature-and voltage-aware timing analysis
US8813006B1 (en) Accelerated characterization of circuits for within-die process variations
Singh et al. Incremental retiming for FPGA physical synthesis
Chang et al. Type-matching clock tree for zero skew clock gating
Neiroukh et al. Improving the process-variation tolerance of digital circuits using gate sizing and statistical techniques
US8434048B2 (en) Method for implementing power gating in an integrated circuit design logic block including N-nary dynamic logic (NDL) gates
Gao et al. Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages
Kang et al. Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters
US8302049B2 (en) Method for enabling multiple incompatible or costly timing environment for efficient timing closure
Dierickx et al. Propagating variability from technology to system level
Wu et al. Two approaches for timing-driven placement by Lagrangian relaxation
Beece et al. Transistor sizing of custom high-performance digital circuits with parametric yield considerations
Zhang et al. Block based statistical timing analysis with extended canonical timing model
Xie et al. Statistical high-level synthesis under process variability
Lasbouygues et al. Timing analysis in presence of supply voltage and temperature variations