Abstract
Advances in technology have steadily paved the way for making embedded systems ubiquitous in our daily life. Compared to previous generations, the current nano-CMOS era introduces reliability challenges at an increased pace. Reliability has become equally important as other conventional design constraints like cost and performance because technology scaling is reaching limits and hence aging phenomena may endanger the functionality of an entire design. Of these phenomena, bias temperature instability (BTI) and hot carrier-induced degradation (HCID) are the most prominent, with the potential to remarkably degrade the key electrical characteristics of pMOS and nMOS transistors. Therefore, there is an ever-increasing need to investigate aging from the physical level, where it does originate all the way up to the system level, where it finally manifests itself. Additionally, reliability-aware circuit design flows do virtually not exist and even research is in its infancy. In this chapter, we will first explain the underlying mechanisms of aging at the physical level and how they alter the key parameters of MOSFET transistors at the device level. Then, we will introduce the concept of degradation-aware cell libraries. We will demonstrate how plugging these libraries into the standard design flow will enable designers to not only analyze aging but to also optimize it at the circuit level. Finally, we will discuss that selecting guardbands to protect against aging cannot be independently done from the running workloads at the system level. Otherwise, unnecessary performance losses will be incurred.
Download Software: This work is publicly available at [46] http://ces.itec.kit.edu/dependable-hardware.php.
Access this chapter
Tax calculation will be finalised at checkout
Purchases are for personal use only
Similar content being viewed by others
References
Alam, M., Roy, K., & Augustine, C. (2011). Reliability- and process-variation aware design of integrated circuits. IEEE International Reliability Physics Symposium (IRPS) (pp. 4A.1.1–4A.1.11).
Amrouch, H. (2015). Techniques for aging, soft errors and temperature to increase the reliability of embedded on-chip systems. PhD thesis, Dissertation, Karlsruhe Institute of Technology (KIT).
Amrouch, H., Ebi, T., & Henkel, J. (2013). Stress balancing to mitigate NBTI effects in register files. In 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN) (pp. 1–10).
Amrouch, H., & Henke, J. (2017). Containing guardbands. In 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (pp. 537–542).
Amrouch, H., Khaleghi, B., Gerstlauerz, A., & Henkel, J. (2016). Reliability-aware design to suppress aging. In Proceedings of the 53rd Annual Design Automation Conference (p. 12).
Amrouch, H., Khaleghi, B., Gerstlauer, A., & Henkel, J. (2017, June). Towards aging-induced approximations. In 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC) (pp. 1–6).
Amrouch, H., Khaleghi, B., & Henkel, J. (2017). Optimizing temperature guardbands. In Design, Automation & Test in Europe Conference & Exhibition (DATE).
Amrouch, H., Martin-Martinez, J., van Santen, V., Moras, M., Nafria, M., Rodriguez, R., et al. (April 2015). Connecting the physical and application level towards grasping aging effects. In 2015 IEEE International Conference on Reliability Physics Symposium (IRPS) (pp. 3D. 1.1–3D. 1.8).
Amrouch, H., Mishra, S., van Santen, V., Mahapatra, S., & Henkel, J. (2017). Impact of BTI on dynamic and static power: From the physical to circuit level. In 2017 IEEE International Reliability Physics Symposium (IRPS) (pp. CR–3). New York: IEEE.
Amrouch, H., van Santen, V., Ebi, T., Wenzel, V., & Henkel, J. (2014, November). Towards interdependencies of aging mechanisms. In 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (pp. 478–485).
Amrouch, H., van Santen, V. M., & Henkel, J. (2016). Interdependencies of degradation effects and their impact on computing. IEEE Design & Test.
Asanovi, K., Avizienis, R., Bachrach, J., Beamer, S., Biancolin, D., Celio, C., et al. (2016). The rocket chip generator. Technical Report No. UCB/EECS-2016-17.
Asanovi, K., & Patterson, D. A. (2014, August). Instruction sets should be free: The case for RISC-v. Technical Report UCB/EECS-2014-146, EECS Department, University of California, Berkeley.
Asenov, A. (1998). Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 μm MOSFET’s: A 3-D ldquo;atomistic rdquo; simulation study. IEEE Transactions on Electron Devices, 45, 2505–2513.
Bienia, C., Kumar, S., Singh, J. P., & Li, K. (2008). The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT) (pp. 72–81).
Binkert, N., Beckmann, B., Black, G., Reinhardt, S. K., Saidi, A., Basu, A., et al. (2011). The gem5 simulator. ACM SIGARCH Computer Architecture News, 39(2), 1–7.
Borkar, S. (1999). Design challenges of technology scaling. IEEE Micro, 19(4), 23–29.
Cartier, E., Kerber, A., Ando, T., Frank, M., Choi, K., Krishnan, S., et al. (2011, December). Fundamental aspects of HfO2-based high-k metal gate stack reliability and implications on tinv-scaling. In 2011 IEEE International Electron Devices Meeting (IEDM) (pp. 18.4.1–18.4.4).
Celio, C., Patterson, D. A., & Asanovic, K. (2015). The Berkeley out-of-order machine (boom): An industry-competitive, synthesizable, parameterized risc-v processor. Technical Report UCB/EECS-2015-167, EECS Department, University of California, Berkeley.
Chiu, J., Chung, Y., Wang, T., Chen, M.-C., Lu, C., & Yu, K. (2012). A comparative study of NBTI and RTN amplitude distributions in high gate dielectric pMOSFETs. IEEE Electron Device Letters, 33(2), 176–178.
Das, A., Shafik, R. A., Merrett, G. V., Al-Hashimi, B. M., Kumar, A., & Veeravalli, B. (2014). Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems. In Proceedings of the 51st Annual Design Automation Conference, DAC ‘14 (pp. 170:1–170:6). New York: ACM.
Dennard, R., Rideout, V., Bassous, E., & LeBlanc, A. (1974). Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE Journal of Solid-State Circuits, 9(5), 256–268.
Ebi, T., Al Faruque, M. A., & Henkel, J. (2009). Tape: Thermal-aware agent-based power economy for multi/many-core architectures. In Proceedings of the 2009 International Conference on Computer-Aided Design, ICCAD ‘09 (pp. 302–309).
Ebi, T., Kramer, D., Karl, W., & Henkel, J. (2011, October). Economic learning for thermal-aware power budgeting in many-core architectures. In 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (pp. 189–196).
Goel, N., Naphade, T., & Mahapatra, S. (2015). Combined trap generation and transient trap occupancy model for time evolution of NBTI during DC multi-cycle and AC stress. In 2015 IEEE International Reliability Physics Symposium (IRPS) (pp. 4A.3.1–4A.3.7).
Haghbayan, M. H., Miele, A., Rahmani, A. M., Liljeberg, P., & Tenhunen, H. (2017). Performance/reliability-aware resource management for many-cores in dark silicon era. IEEE Transactions on Computers, 66(9), 1599–1612.
Henkel, J., & Amrouch, H. (2016). Designing reliable, yet energy-efficient guardbands. In 2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS) (pp. 540–543). New York: IEEE.
Henkel, J., Bauer, L., Becker, J., Bringmann, O., Brinkschulte, U., Chakraborty, S., et al. (2011). Design and architectures for dependable embedded systems. In CODES+ISSS (pp. 69–78).
International technology roadmap for semiconductors (ITRS). http://www.itrs.net.
Joshi, K., Hung, S., Mukhopadhyay, S., Chaudhary, V., Nanaware, N., Rajamohnan, B., et al., (2013, April). HKMG process impact on N, P BTI: Role of thermal IL scaling, IL/HK integration and post HK nitridation. In 2013 IEEE International Reliability Physics Symposium (IRPS) (pp. 4C.2.1–4C.2.10).
Joshi, K., Mukhopadhyay, S., Goel, N., & Mahapatra, S. (2012). A consistent physical framework for N and P BTI in HKMG MOSFETs. In 2012 IEEE International Reliability Physics Symposium (IRPS) (pp. 5A.3.1–5A.3.10).
Keane, J., & Kim, C. H. (2011). Transistor aging. IEEE Spectrum, 48(5), 28–33.
Khan, S., & Hamdioui, S. (2010). Trends and challenges of SRAM reliability in the nano-scale era. In 5th International Conference on Design and Technology of Integrated Systems in Nanoscale Era (pp. 1–6).
Khdr, H., Amrouch, H., & Henkel, J. (2018). Aging-constrained performance optimization for multi cores. In Proceedings of the 55th Annual Design Automation Conference (p. 63). New York: ACM.
Khdr, H., Amrouch, H., & Henkel, J. (2018). Aging-aware boosting. IEEE Transactions on Computers.
Khdr, H., Amrouch, H., & Henkel, J. (2018). Dynamic guardband selection: Thermal-aware optimization for unreliable multi-core systems. IEEE Transactions on Computers.
Krishnan, A. T., Reddy, V., Chakravarthi, S., Rodriguez, J., John, S., & Krishnan, S. (2003). NBTI impact on transistor and circuit: models, mechanisms and scaling effects [MOSFETs]. In IEEE International Electron Devices Meeting, 2003. IEDM’03 Technical Digest (pp. 14–15).
Latex plotting code. https://github.com/MartinThoma/LaTeX-examples/
Li, S., Ahn, J.-H., Strong, R., Brockman, J., Tullsen, D., & Jouppi, N. (2009). McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In 42nd IEEE/ACM International Symposium on Microarchitecture (MICRO) (pp. 469–480).
Mahapatra, S., Saha, D., Varghese, D., & Kumar, P. B. (2006). On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress. IEEE Transactions on Electron Devices, 53(7), 1583–1592.
Maricau, E., & Gielen, G. (2011). Computer-aided analog circuit design for reliability in nanometer CMOS. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 1(1), 50–58.
Martin-Martinez, J., Kaczer, B., Toledano-Luque, M., Rodriguez, R., Nafria, M., Aymerich, X., et al. (2011, April). Probabilistic defect occupancy model for NBTI. In 2011 IEEE International Reliability Physics Symposium (IRPS) (pp. XT.4.1–XT.4.6).
Mercati, P., Paterna, F., Bartolini, A., Benini, L., & Rosing, T. (2017, September). Warm: Workload-aware reliability management in Linux/Android. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36(9), 1557–1570.
Mück, T. R., Ghaderi, Z., Dutt, N. D., & Bozorgzadeh, E. (2017). Exploiting heterogeneity for aging-aware load balancing in mobile platforms. IEEE Transactions on Multi-Scale Computing Systems, 3(1), 25–35.
Nagumo, T., Takeuchi, K., Yokogawa, S., Imai, K., & Hayashi, Y. (2009). New analysis methods for comprehensive understanding of random telegraph noise. In 2009 IEEE International Electron Devices Meeting (IEDM) (pp. 1–4). New York: IEEE.
Our released models, tools and degradation-aware cell libraries. http://ces.itec.kit.edu/dependable-hardware.php
Raparti, V. Y., Kapadia, N., & Pasricha, S. (2017, April). ARTEMIS: An aging-aware runtime application mapping framework for 3D NoC-based chip multiprocessors. IEEE Transactions on Multi-Scale Computing Systems, 3(2), 72–85.
Rathore, V., Chaturvedi, V., & Srikanthan, T. (2016). Performance constraint-aware task mapping to optimize lifetime reliability of manycore systems. In Proceedings of the 26th Edition on Great Lakes Symposium on VLSI, GLSVLSI ‘16 (pp. 377–380). New York: ACM.
Singh Chauhan, Y., Venugopalan, S., Paydavosi, N., Kushwaha, P., Jandhyala, S. Duarte, J. P., et al. (2013). BSIM Compact MOSFET Models for SPICE Simulation. In 2013 Proceedings of the 20th International Conference Mixed Design of Integrated Circuits and Systems (MIXDES) (pp. 23–28).
Tega, N., Miki, H., & Pagette, F., et. al. (2009). Increasing threshold voltage variation due to random telegraph noise in FETs as gate lengths scale to 20 nm. In VLSIT.
Tega, N., Miki, H., Yamaoka, M., Kume, H., Mine, T., Ishida, T., et al. (2008). Impact of threshold voltage fluctuation due to random telegraph noise on scaled-down SRAM. In IEEE International Reliability Physics Symposium, 2008. IRPS 2008 (pp. 541–546).
Thomos, N., Boulgouris, N., & Strintzis, M. (2006). Optimized transmission of JPEG2000 streams over wireless channels. IEEE Transactions on Image Processing, 15(1), 54–67.
Tsukamoto, Y., Toh, S. O., Shin, C., Mairena, A., Liu, T.-J. K., & Nikolic, B. (2010). Analysis of the relationship between random telegraph signal and negative bias temperature instability. In IRPS (pp. 1117–1121).
van Santen, V. M., Amrouch, H., Martin-Martinez, J., Nafria, M., & Henkel, J. (2016). Designing guardbands for instantaneous aging effects. In Proceedings of the 53rd Annual Design Automation Conference (p. 69).
van Santen, V. M., Amrouch, H., Parihar, N., Mahapatra, S., et al. (2016). Aging-aware voltage scaling. In Design, Automation & Test in Europe Conference & Exhibition (DATE) (pp. 576–581).
van Santen, V. M., Martin-Martinez, J., Amrouch, H., Nafria, M. M., & Henkel, J. (2018). Reliability in super-and near-threshold computing: A unified model of RTN, BTI, and PV. IEEE Transactions on Circuits and Systems I: Regular Papers, 65(1), 293–306.
Video trace library. http://trace.eas.asu.edu/yuv/index.html
Acknowledgements
This work is supported in part by the German Research Foundation (DFG) as part of the priority program “Dependable Embedded Systems” [28] (SPP 1500—spp1500.itec.kit.edu). Authors would like to thank Victor van Santen from CES, KIT for his very valuable work and contribution as well as our research partners; Souvik Mahapatra, Subrat Mishra from IIT Bombay, Andreas Gerstlauer from University of Texas at Austin, and Montserrat Nafria and Javier Martin-Martinez from Universitat Autonoma de Barcelona.
Author information
Authors and Affiliations
Corresponding author
Editor information
Editors and Affiliations
Rights and permissions
Copyright information
© 2019 Springer International Publishing AG, part of Springer Nature
About this chapter
Cite this chapter
Amrouch, H., Khdr, H., Henkel, J. (2019). Aging Effects: From Physics to CAD. In: Fornaciari, W., Soudris, D. (eds) Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms. Springer, Cham. https://doi.org/10.1007/978-3-319-91962-1_3
Download citation
DOI: https://doi.org/10.1007/978-3-319-91962-1_3
Published:
Publisher Name: Springer, Cham
Print ISBN: 978-3-319-91961-4
Online ISBN: 978-3-319-91962-1
eBook Packages: EngineeringEngineering (R0)