[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/780732.780770acmconferencesArticle/Chapter ViewAbstractPublication PagescpsweekConference Proceedingsconference-collections
Article

Adapting instruction level parallelism for optimizing leakage in VLIW architectures

Published: 11 June 2003 Publication History

Abstract

Due to ever increasing number of transistors and decreasing threshold voltages, leakage energy consumption is expected to play a decisive role in the next generation circuits. We believe that software support is a must to exploit available leakage control mechanisms. In this paper, we present and evaluate a compiler-oriented leakage optimization strategy based on tuning IPC (instructions ---issued--- per cycle) at a loop-level granularity according to the needs of application. Once a suitable IPC is selected for each loop, our strategy turns off unused or not frequently used integer ALUs to save leakage energy. Our preliminary results indicate that our technique can reduce up to 38% of the functional unit leakage energy across a range of VLIW configurations. Our results also show that our loop based IPC detection strategy gives better energy-delay product than finer-granularity (basic block level) and coarser-granularity (whole application level) IPC detection schemes.

References

[1]
L. Benini, A. Bogliolo, and G. D. Micheli. A survey of design techniques for system-level dynamic power management. IEEE Transactions on VLSI Systems, 8(3), June 2000.
[2]
A. Baniasadi and A. Moshovos. Instruction Flow-based Front-end Throttling for Power-Aware High-Performance Processors, In Proceedings of International Symposium on Low Power Design, Aug. 2001.
[3]
J. A. Butts and G. Sohi. A Static Power Model for Architects. In Proc. International Symposium on Microarchitecture. December 2000.
[4]
A. Chandrakasan, W. J. Bowhill, and F. Fox. Design of High-Performance Microprocessor Circuits. IEEE Press, 2001.
[5]
Trimaran. In http://www.trimaran.org.
[6]
R. I. Bahar and S. Manne. Power and energy reduction via pipeline balancing. In Proceedings of the 28th Annual International Symposium on Computer Architecture, pages 218--229, 2001.
[7]
S. Ghiasi, J. Casmira, D. Grunwald. Using IPC Variation in Workloads with Externally Specified Rates to Reduce Power Consumption. Workshop on Complexity-Effective Design, Vancouver, B.C., June 2000.
[8]
J. P. Halter and F. Najm. A gate-level leakage power reduction method for ultra-low-power CMOS circuits. In Proc. IEEE Custom Integrated Circuits Conference, pp. 475--478, 1997.
[9]
S. A. Mahlke, D. C. Lin, W. Y. Chen, R. E. Hank, and R. A. Bringmann. Effective compiler support for predicated execution using the hyperblock. In Proceedings of 25th Annual International Symposium on Microarchitecture, pages 45--54, 1992.
[10]
A. Iyer and D. Marculescu. Power Aware Microarchitecture Resource Scaling. In Proceedings of the IEEE Design, Automation and Test in Europe Conference, Munich, Germany, March 2001.
[11]
S. S. Muchnick. Advanced Compiler Design Implementation. Morgan Kaufmann Publishers, San Francisco, CA, 1997.
[12]
D. Ponomarev, G. Kucuk and K. Ghose. Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources. In Proceedings of the Annual International Symposium on Microarchitecture, 2001.
[13]
B. R. Rau. Iterative modulo scheduling: An algorithm for software pipelining loops. In Proceedings of the 27th Annual International Symposium on Microarchitecture, pages 63--74, 1994.
[14]
S. Rele, S. Pande, S. Onder, and R. Gupta. Optimization of Static Power Dissipation by Functional Units in Superscalar Processors. In Proceedings of International Conference on Compiler Construction, pp. 261--275, April 2002.
[15]
S. Yang et. al. An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches. In Proc. ACM/IEEE International Symposium on High-Performance Computer Architecture, January 2001.
[16]
S. Kaxiras, Z. Hu, M. Martonosi. Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power. In Proc. The 28th International Symposium on Computer Architecture, June 2001.
[17]
S. Dropsho, V. Kursun, D.H. Albonesi, S. Dwarkadas and E. G. Friedman. Managing Static Leakage Energy in Microprocessor Functional Units. In Proceedings of 35th International Symposium on Microarchitecture, pp. 321--332, November 2002.
[18]
O. S. Unsal, I. Koren, C.M. Krishna and C.A. Moritz. Cool-Fetch: Compiler-Enabled Power-Aware Fetch Throttling. ACM Computer Architecture Letters, Vol. 1, 2002.
[19]
W. Zhang, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, D. Duarte and Y. Tsai. Exploiting VLIW Schedule Slacks for Dynamic and Leakage Energy Reduction. In Proc. 34th Annual International Symposium on Microarchitecture, December 2001.
[20]
W. Zhang, M. Kandemir, N. Vijaykrishnan, M. J. Irwin, and V. De. Compiler support for reducing leakage energy consumption. In Proc. the 6th Design Automation and Test in Europe Conference, March 2003.
[21]
H. Zhou, M. Toburen, E. Rotenberg, and T. Conte. Adaptive mode control: A static-power-efficient cache design. In Proc. PACT 2001, Sept. 2001.

Cited By

View all
  • (2020)Compiler-Directed Parallelism Scaling Framework for Performance Constrained Energy OptimizationIEEE Access10.1109/ACCESS.2019.29615688(1733-1754)Online publication date: 2020
  • (2017)Compiler-Guided Parallelism Adaption Based on Application Partition for Power-Gated ILP ProcessorIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.263641925:4(1329-1341)Online publication date: 1-Apr-2017
  • (2014)Design and evaluation of fine-grained power-gating for embedded microprocessorsProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616785(1-6)Online publication date: 24-Mar-2014
  • Show More Cited By

Index Terms

  1. Adapting instruction level parallelism for optimizing leakage in VLIW architectures

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    LCTES '03: Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems
    June 2003
    304 pages
    ISBN:1581136471
    DOI:10.1145/780732
    • cover image ACM SIGPLAN Notices
      ACM SIGPLAN Notices  Volume 38, Issue 7
      Special Issue: Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool support for embedded systems (San Diego, CA).
      July 2003
      293 pages
      ISSN:0362-1340
      EISSN:1558-1160
      DOI:10.1145/780731
      Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 11 June 2003

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. VLIW architecture
    2. functional units
    3. instruction level parallelism
    4. instruction scheduling
    5. leakage energy
    6. power supply gating

    Qualifiers

    • Article

    Conference

    LCTES03
    Sponsor:

    Acceptance Rates

    LCTES '03 Paper Acceptance Rate 29 of 128 submissions, 23%;
    Overall Acceptance Rate 116 of 438 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 26 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2020)Compiler-Directed Parallelism Scaling Framework for Performance Constrained Energy OptimizationIEEE Access10.1109/ACCESS.2019.29615688(1733-1754)Online publication date: 2020
    • (2017)Compiler-Guided Parallelism Adaption Based on Application Partition for Power-Gated ILP ProcessorIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.263641925:4(1329-1341)Online publication date: 1-Apr-2017
    • (2014)Design and evaluation of fine-grained power-gating for embedded microprocessorsProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616785(1-6)Online publication date: 24-Mar-2014
    • (2014)Deadline-Constrained Clustered Scheduling for VLIW Architectures using Power-Gated Register FilesACM Transactions on Architecture and Code Optimization10.1145/263221811:2(1-26)Online publication date: 15-Jul-2014
    • (2014)Path-Dividing Based Scheduling Algorithm for Reducing Energy Consumption of Clustered VLIW ArchitecturesIEEE Transactions on Computers10.1109/TC.2013.13863:10(2526-2539)Online publication date: 1-Oct-2014
    • (2014)Enabling energy-proportional computing on instruction-level parallel processorsThe Journal of Supercomputing10.1007/s11227-014-1301-z71:2(391-447)Online publication date: 5-Oct-2014
    • (2010)Integrated energy-aware cyclic and acyclic scheduling for clustered VLIW processors2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW)10.1109/IPDPSW.2010.5470906(1-8)Online publication date: Apr-2010
    • (2009)Autonomous temperature control technique in VLSI circuits through logic replicationIET Computers & Digital Techniques10.1049/iet-cdt:200701593:1(62)Online publication date: 2009
    • (2008)A comparative study between static and dynamic sleep signal generation techniques for leakage tolerant designsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.200073016:9(1114-1126)Online publication date: 1-Sep-2008
    • (2008)Minimizing Leakage Energy with Modulo Scheduling for VLIW DSP ProcessorsDistributed Embedded Systems: Design, Middleware and Resources10.1007/978-0-387-09661-2_11(111-120)Online publication date: 2008
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media