[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/3470496.3527401acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article
Public Access

uBrain: a unary brain computer interface

Published: 11 June 2022 Publication History

Abstract

Brain computer interfaces (BCIs) have been widely adopted to enhance human perception via brain signals with abundant spatial-temporal dynamics, such as electroencephalogram (EEG). In recent years, BCI algorithms are moving from classical feature engineering to emerging deep neural networks (DNNs), allowing to identify the spatial-temporal dynamics with improved accuracy. However, existing BCI architectures are not leveraging such dynamics for hardware efficiency. In this work, we present uBrain, a unary computing BCI architecture for DNN models with cascaded convolutional and recurrent neural networks to achieve high task capability and hardware efficiency. uBrain co-designs the algorithm and hardware: the DNN architecture and the hardware architecture are optimized with customized unary operations and immediate signal processing after sensing, respectively. Experiments show that uBrain, with negligible accuracy loss, surpasses the CPU, systolic array and stochastic computing baselines in on-chip power efficiency by 9.0×, 6.2× and 2.0×.

References

[1]
Sarah N. Abdulkader, Ayman Atia, and Mostafa Sami M. Mostafa. 2015. Brain Computer Interfacing: Applications and Challenges. Egyptian Informatics Journal 16, 2 (2015), 213--230.
[2]
Abeer Al-Nafjan, Manar Hosny, Yousef Al-Ohali, and Areej Al-Wabil. 2017. Review and Classification of Emotion Recognition Based on EEG Brain-Computer Interface System Research: A Systematic Review. Applied Sciences 7, 12 (2017), 1239.
[3]
A. Alaghi, C. Li, and J. P. Hayes. 2013. Stochastic Circuits for Real-Time Image-Processing Applications. In Design Automation Conference.
[4]
Turky N. Alotaiby, Saleh A. Alshebeili, Faisal M. Alotaibi, and Saud R. Alrshoud. 2017. Epileptic Seizure Prediction Using CSP and LDA for Scalp EEG Signals. Computational Intelligence and Neuroscience (2017).
[5]
Maria Karoline Andrade, Maíra Araújo de Santana, Giselle Moreno, Igor Oliveira, Jhonnatan Santos, Marcelo Cairrão Araújo Rodrigues, and Wellington Pinheiro dos Santos. 2020. An EEG Brain-Computer Interface to Classify Motor Imagery Signals. Biomedical Signal Processing: Advances in Theory, Algorithms and Applications (2020), 83--98.
[6]
Gopala K. Anumanchipalli, Josh Chartier, and Edward F. Chang. 2019. Speech Synthesis from Neural Decoding of Spoken Sentences. Nature 568, 7753 (2019), 493--498.
[7]
ARM. 2021. Cortex-A57. Retrieved 2021-11-16 from https://en.wikichip.org/wiki/arm_holdings/microarchitectures/cortex-a57
[8]
J Aziz, R Genov, M Derchansky, B Bardakjian, and P Carlen. 2007. 256-Channel Neural Recording Microsystem with On-Chip 3D Electrodes. In International Solid-State Circuits Conference.
[9]
Rajeev Balasubramonian, Andrew B. Kahng, Naveen Muralimanohar, Ali Shafiee, and Vaishnav Srinivas. 2017. CACTI 7: New Tools for Interconnect Exploration in Innovative Off-Chip Memories. ACM Transactions on Architecture and Code Optimization 14, 2 (2017), 1--25.
[10]
Mojtaba Bandarabadi, César A. Teixeira, Jalil Rasekhi, and António Dourado. 2015. Epileptic Seizure Prediction Using Relative Spectral Power Features. Clinical Neurophysiology 126, 2 (2015), 237--248.
[11]
Sebastien Barthelemy and Philippe Boulinguez. 2001. Manual Reaction Time Asymmetries in Human Subjects: The Role of Movement Planning and Attention. Neuroscience Letters 315, 1--2 (2001), 41--44.
[12]
Yu Hsin Chen, Tushar Krishna, Joel S. Emer, and Vivienne Sze. 2017. Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks. IEEE Journal of Solid-State Circuits 52, 1 (2017), 127--138.
[13]
Matthieu Courbariaux, Yoshua Bengio, and Jean-Pierre David. 2015. BinaryConnect: Training Deep Neural Networks with Binary Weights during Propagations. In International Conference on Neural Information Processing Systems.
[14]
John P Donoghue. 2002. Connecting Cortex to Machines: Recent Advances in Brain Interfaces. Nature neuroscience 5, 11 (2002), 1085--1088.
[15]
Nelly Elsayed, Zaghloul Saad, and Magdy Bayoumi. 2017. Brain Computer Interface: EEG Signal Preprocessing Issues and Solutions. International Journal of Computer Applications 169, 3 (2017), 975--8887.
[16]
Oliver Faust, Yuki Hagiwara, Tan Jen Hong, Oh Shu Lih, and U Rajendra Acharya. 2018. Deep Learning for Healthcare Applications Based on Physiological Signals: A Review. Computer Methods and Programs in Biomedicine 161 (2018), 1--13.
[17]
David Fick, Gyouho Kim, Allan Wang, David Blaauw, and Dennis Sylvester. 2014. Mixed-Signal Stochastic Computation Demonstrated in An Image Sensor with Integrated 2D Edge Detection and Noise Filtering. In Custom Integrated Circuits Conference.
[18]
Kosuke Fukumori, Hoang Thien Thu Nguyen, Noboru Yoshida, and Toshihisa Tanaka. 2019. Fully Data-driven Convolutional Filters with Deep Learning Models for Epileptic Spike Detection. In International Conference on Acoustics, Speech and Signal Processing.
[19]
B. R. Gaines. 1969. Stochastic Computing Systems. Advances in Information Systems Science (1969), 37--172.
[20]
Ross Girshick, Jeff Donahue, Trevor Darrell, and Jitendra Malik. 2014. Rich Feature Hierarchies for Accurate Object Detection and Semantic Segmentation. In Conference on Computer Vision and Pattern Recognition.
[21]
Ary L Goldberger, Luis AN Amaral, Leon Glass, Jeffrey M Hausdorff, Plamen Ch Ivanov, Roger G Mark, Joseph E Mietus, George B Moody, Chung-Kang Peng, and H Eugene Stanley. 2000. PhysioBank, PhysioToolkit, and PhysioNet: Components of a New Research Resource for Complex Physiologic Signals. Circulation 101, 23 (2000), 215--220.
[22]
Christoph Guger, Brendan Z. Allison, and Natalie Mrachacz-Kersting. 2017. Brain-Computer Interface Research: A State-of-the-Art Summary 7. In SpringerBriefs in Electrical and Computer Engineering.
[23]
Hayrettin Gürkök, Bram van de Laar, Danny Plass-Oude Bos, Mannes Poel, and Anton Nijholt. 2014. Players' Opinions on Control and Playability of a BCI Game. In International Conference on Universal Access in Human-Computer Interaction.
[24]
EEG Hacker. 2022. Estimating OpenBCI Battery Life. Retrieved 2022-02-14 from https://eeghacker.blogspot.com/2015/01/estimating-openbci-battery-life.html
[25]
Kaining Han, Junchao Wang, Xingliang Xiong, Qiang Fang, and N. G. David. 2020. A Low Complexity SVM Classifier for EEG Based Gesture Recognition Using Stochastic Computing. In International Symposium on Circuits and Systems.
[26]
Sepp Hochreiter and Jürgen Schmidhuber. 1997. Long Short-Term Memory. Neural Computation 9, 8 (Nov. 1997), 1735--1780.
[27]
Arthur R. Jensen and Ella Munro. 1979. Reaction Time, Movement Time, and Intelligence. Intelligence 3, 2 (1979), 121--126.
[28]
B Kamousi, Zhongming Liu, and Bin He. 2005. Classification of Motor Imagery Tasks for Brain-Computer Interface Applications by Means of Two Equivalent Dipoles Analysis. IEEE Transactions on Neural Systems and Rehabilitation Engineering 13, 2 (2005), 166--171.
[29]
I. Karageorgos, K. Sriram, J. Veselý, M. Wu, M. Powell, D. Borton, R. Manohar, and A. Bhattacharjee. 2020. Hardware-Software Co-Design for Brain-Computer Interfaces. In International Symposium on Computer Architecture.
[30]
S. Karen Khatamifard, M. Hassan Najafi, Ali Ghoreyshi, Ulya R. Karpuzcu, and David J. Lilja. 2018. On Memory System Design for Stochastic Computing. IEEE Computer Architecture Letters 17, 2 (2018), 117--121.
[31]
Haidar Khan, Lara Marcuse, Madeline Fields, Kalina Swann, and Bülent Yener. 2018. Focal Onset Seizure Prediction Using Convolutional Networks. IEEE Transactions on Biomedical Engineering 65, 9 (2018), 2109--2118.
[32]
Chul Kim, Siddharth Joshi, Hristos Courellis, Jun Wang, Cory Miller, and Gert Cauwenberghs. 2018. Sub-μ Vrms-Noise Sub-μ W/Channel ADC-Direct Neural Recording With 200-mV/ms Transient Recovery Through Predictive Digital Autoranging. Journal of Solid-State Circuits 53, 11 (2018), 3101--3110.
[33]
Stuart T. Klapp. 2003. Reaction Time Analysis of Two Types of Motor Preparation for Speech Articulation: Action as A Sequence of Chunks. Journal of Motor Behavior 35, 2 (2003), 135--150.
[34]
Alex Krizhevsky, Ilya Sutskever, and Geoffrey E Hinton. 2012. ImageNet Classification with Deep Convolutional Neural Networks. In International Conference on Neural Information Processing Systems.
[35]
Anders Krogh and John A. Hertz. 1991. A Simple Weight Decay Can Improve Generalization. In International Conference on Neural Information Processing Systems.
[36]
Vernon J. Lawhern, Amelia J. Solon, Nicholas R. Waytowich, Stephen M. Gordon, Chou P. Hung, and Brent J. Lance. 2018. EEGNet: A Compact Convolutional Neural Network for EEG-Based Brain-Computer Interfaces. Journal of Neural Engineering 15, 5 (2018), 056013.
[37]
J.E. Le Douget, A. Fouad, M. Maskani Filali, J. Pyrzowski, and M. Le Van Quyen. 2017. Surface and Intracranial EEG Spike Detection Based on Discrete Wavelet Decomposition and Random Forest Classification. In International Conference of the IEEE Engineering in Medicine and Biology Society.
[38]
Vincent T. Lee, Armin Alaghi, John P. Hayes, Visvesh Sathe, and Luis Ceze. 2017. Energy-Efficient Hybrid Stochastic-Binary Neural Networks for Near-Sensor Computing. In Design, Automation & Test in Europe Conference & Exhibition.
[39]
Eric C. Leuthardt, Gerwin Schalk, Jonathan R. Wolpaw, Jeffrey G. Ojemann, and Daniel W. Moran. 2004. A Brain-Computer Interface Using Electrocorticographic Signals in Humans. Journal of Neural Engineering 1, 2 (2004), 63--71.
[40]
Qi Lian, Yu Qi, Gang Pan, and Yueming Wang. 2020. Learning Graph in Graph Convolutional Neural Networks for Robust Seizure Prediction. Journal of Neural Engineering 17, 3 (2020), 035004.
[41]
Chin Teng Lin, Che Jui Chang, Bor Shyh Lin, Shao Hang Hung, Chih Feng Chao, and I Jan Wang. 2010. A Real-Time Wireless Brain-Computer Interface System for Drowsiness Detection. IEEE Transactions on Biomedical Circuits and Systems 4, 4 (2010), 214--222.
[42]
Pengfei Liu, Xipeng Qiu, and Huang Xuanjing. 2016. Recurrent Neural Network for Text Classification with Multi-Task Learning. In International Joint Conference on Artificial Intelligence.
[43]
Chi Chun Lo, Tsung Yi Chien, Yu Chun Chen, Shang Ho Tsai, Wai Chi Fang, and Bor Shyh Lin. 2016. A Wearable Channel Selection-Based Brain-Computer Interface for Motor Imagery Detection. Sensors 16, 2 (2016), 213.
[44]
Qian Lou, Wenyang Liu, Weichen Liu, Feng Guo, and Lei Jiang. 2020. MindReading: An Ultra-Low-Power Photonic Accelerator for EEG-Based Human Intention Recognition. In Asia and South Pacific Design Automation Conference.
[45]
A. Madhavan, T. Sherwood, and D. Strukov. 2014. Race Logic: A Hardware Acceleration for Dynamic Programming Algorithms. In International Symposium on Computer Architecture.
[46]
Alak Majumder, Monalisa Das, Bipasha Nath, Abir J Mondal, and Bidyut K Bhattacharyya. 2016. Design of Low Noise High Speed Novel Dynamic Analog Comparator in 65nm Technology. In International Conference Radioelektronika.
[47]
Joseph N Mak and Jonathan R Wolpaw. 2009. Clinical Applications of Brain-Computer Interfaces: Current State and Future Prospects. IEEE Reviews in Biomedical Engineering 2 (2009), 187--199.
[48]
Vladimir A. Maksimenko, Sabrina Van Heukelum, Vladimir V. Makarov, Janita Kelderhuis, Annika Lüttjohann, Alexey A. Koronovskii, Alexander E. Hramov, and Gilles Van Luijtelaar. 2017. Absence Seizure Control by a Brain Computer Interface. Scientific Reports 7, 1 (2017), 1--8.
[49]
Malik M.Naeem Mannan, Shinjung Kim, Myung Yung Jeong, and M. Ahmad Kamran. 2016. Hybrid EEG-Eye Tracker: Automatic Identification and Removal of Eye Movement and Blink Artifacts from Electroencephalographic Signal. Sensors 16, 2 (2016), 241.
[50]
G. Maor, X. Zeng, Z. Wang, and Y. Hu. 2019. An FPGA Implementation of Stochastic Computing-Based LSTM. In International Conference on Computer Design. 38--46.
[51]
Dennis J. McFarland and Jonathan R. Wolpaw. 2011. Brain-Computer Interfaces for Communication and Control. Commun. ACM 54, 5 (2011), 767--791.
[52]
Hassan Mostafa and Yehea I. Ismail. 2013. Highly-Linear Voltage-to-Time Converter (VTC) Circuit for Time-Based Analog-to-Digital Converters (T-ADCs). In International Conference on Electronics, Circuits, and Systems.
[53]
Angel Mur, Raquel Dormido, Jesús Vega, Natividad Duro, and Sebastian Dormido-Canto. 2016. Unsupervised Event Characterization and Detection in Multichannel Signals: An EEG Application. Sensors 16, 4 (2016), 590.
[54]
Elon Musk. 2019. An Integrated Brain-Machine Interface Platform With Thousands of Channels. Journal of Medical Internet Research 21, 10 (2019), e16194.
[55]
Vinod Nair and Geoffrey E Hinton. 2010. Rectified Linear Units Improve Restricted Boltzmann Machines. In International Conference on International Conference on Machine Learning.
[56]
Sho Nakagome, Trieu Phat Luu, Yongtian He, Akshay Sujatha Ravindran, and Jose L. Contreras-Vidal. 2020. An Empirical Comparison of Neural Networks and Machine Learning Algorithms for EEG Gait Decoding. Scientific Reports 10, 1 (2020), 1--17.
[57]
Shahrzad Naraghi. 2009. Time-Based Analog to Digital Converters. Ph.D. Dissertation. University of Michigan.
[58]
NeuroBB. 2022. Muse battery replacement. Retrieved 2022-02-14 from https://neurobb.com/t/muse-battery-replacement/665
[59]
NeuroSky. 2022. TGAT1/TGAM1. Retrieved 2022-02-14 from http://neurosky.com/biosensors/eeg-sensor/
[60]
Nvidia. 2021. Jetson Nano Developer Kit. Retrieved 2021-10-14 from https://developer.nvidia.com/embedded/jetson-nano-developer-kit
[61]
Nvidia. 2021. NVIDIA Jetson Linux Driver Package Software Features. Retrieved 2021-10-14 from https://docs.nvidia.com/jetson/l4t/index.html
[62]
Tae Young Oh, Hoeju Chung, Jun Young Park, Ki Won Lee, Seunghoon Oh, Su Yeon Doo, Hyoung Joo Kim, ChangYong Lee, Hye Ran Kim, Jong Ho Lee, Jin Il Lee, Kyung Soo Ha, YoungRyeol Choi, Young Chul Cho, Yong Cheol Bae, Taeseong Jang, Chulsung Park, Kwangil Park, SeongJin Jang, and Joo Sun Choi. 2015. A 3.2 Gbps/pin 8 Gbit 1.0 V LPDDR4 SDRAM With Integrated ECC Engine for Sub-1 V DRAM Core Operation. IEEE Journal of Solid-State Circuits 50, 1 (2015), 178--190.
[63]
N Onizawa, D Katagiri, W J Gross, and T Hanyu. 2014. Analog-to-Stochastic Converter Using Magnetic-Tunnel Junction Devices. In International Symposium on Nanoscale Architectures.
[64]
Ahmet Remzi Ozcan and Sarp Erturk. 2019. Seizure Prediction in Scalp EEG Using 3D Convolutional Neural Networks with an Image-Based Approach. IEEE Transactions on Neural Systems and Rehabilitation Engineering 27, 11 (2019), 2284--2293.
[65]
Natasha Padfield, Jaime Zabalza, Huimin Zhao, Valentin Masero, and Jinchang Ren. 2019. EEG-Based Brain-Computer Interfaces Using Motor-Imagery: Techniques and Challenges. Sensors 19, 6 (2019), 1423.
[66]
Giuseppe Placidi, Danilo Avola, Andrea Petracca, Fiorella Sgallari, and Matteo Spezialetti. 2015. Basis for the Implementation of an EEG-Based Single-Trial Binary Brain Computer Interface through the Disgust Produced by Remembering Unpleasant Odors. Neurocomputing 160 (2015), 308--318.
[67]
Rabie A. Ramadan and Athanasios V. Vasilakos. 2017. Brain Computer Interface: Control Signals Review. Neurocomputing 223 (2017), 26--44.
[68]
Mamunur Rashid, Norizam Sulaiman, Anwar P. P. Abdul Majeed, Rabiu Muazu Musa, Ahmad Fakhri Ahmad, Bifta Sama Bari, and Sabira Khatun. 2020. Current Status, Challenges, and Possible Solutions of EEG-Based Brain-Computer Interface: A Comprehensive Review. Frontiers in Neurorobotics 14 (2020), 25.
[69]
Seyed Navid Resalat and Valiallah Saba. 2016. A Study of Various Feature Extraction Methods on a Motor Imagery Based Brain Computer Interface System. Basic and Clinical Neuroscience 7, 1 (2016), 13.
[70]
Yannick Roy, Hubert Banville, Isabela Albuquerque, Alexandre Gramfort, Tiago H. Falk, and Jocelyn Faubert. 2019. Deep Learning-Based Electroencephalography Analysis: A Systematic Review. Journal of Neural Engineering 16, 5 (2019), 051001.
[71]
G. Schalk, D.J. McFarland, T. Hinterberger, N. Birbaumer, and J.R. Wolpaw. 2004. BCI2000: A General-Purpose Brain-Computer Interface (BCI) System. IEEE Transactions on Biomedical Engineering 51, 6 (2004), 1034--1043.
[72]
M. Schönauer, S. Alizadeh, H. Jamalabadi, A. Abraham, A. Pawlizki, and S. Gais. 2017. Decoding Material-Specific Memory Reprocessing During Sleep in Humans. Nature Communications 8 (2017), 1--9.
[73]
Andrew B Schwartz. 2004. Cortical Neural Prosthetics. Annual Review of Neuroscience 27 (2004), 487--507.
[74]
Mohammed Shoaib, Niraj Jha, and Naveen Verma. 2011. A Low-Energy Computation Platform for Data-Driven Biomedical Monitoring Algorithms. In Design Automation Conference.
[75]
Hyeonuk Sim and Jongeun Lee. 2017. A New Stochastic Computing Multiplier with Application to Deep Convolutional Neural Networks. In Design Automation Conference.
[76]
Ranganatha Sitaram, Andrea Caria, and Niels Birbaumer. 2009. Hemodynamic Brain-Computer Interfaces for Communication and Rehabilitation. Neural Networks 22, 9 (2009), 1320 -- 1328.
[77]
S. R. Sreeja and Debasis Samanta. 2019. Classification of Multiclass Motor Imagery EEG Signal Using Sparsity Approach. Neurocomputing 368 (2019), 133--145.
[78]
N. J. Stevenson, K. Tapani, L. Lauronen, and S. Vanhatalo. 2019. A Dataset of Neonatal EEG Recordings with Seizure Annotations. Scientific Data 6 (2019), 1--8.
[79]
James L Stone and John R Hughes. 2013. Early History of Electroencephalography and Establishment of the American Clinical Neurophysiology Society. Journal of Clinical Neurophysiology 30, 1 (2013), 191--212.
[80]
Akara Supratak, Hao Dong, Chao Wu, and Yike Guo. 2017. DeepSleepNet: A Model for Automatic Sleep Stage Scoring Based on Raw Single-Channel EEG. IEEE Transactions on Neural Systems and Rehabilitation Engineering 25, 11 (2017), 81--91.
[81]
Arwa M. Taqi, Fadwa Al-Azzo, M. Mariofanna, and Jassim M. Al-Saadi. 2017. Classification and Discrimination of Focal and Non-Focal EEG Signals Based on Deep Neural Network. In International Conference on Current Research in Computer Science and Information Technology.
[82]
S Sharifi Tehrani, Warren J Gross, and Shie Mannor. 2006. Stochastic Decoding of LDPC Codes. IEEE Communications Letters 10, 10 (2006), 716--718.
[83]
Mario Tudor, Lorainne Tudor, and Katarina Ivana Tudor. 2005. Hans Berger (1873--1941)-the History of Electroencephalography. Acta Medica Croatica : Casopis Hravatske Akademije Medicinskih Znanosti 59, 4 (2005), 307--313.
[84]
Aosen Wang, Zhanpeng Jin, Chen Song, and Wenyao Xu. 2015. Adaptive Compressed Sensing Architecture in Wireless Brain-Computer Interface. In Design Automation Conference.
[85]
Jing Wang, E. Sanchez-Sinencio, and F. Maloberti. 2000. Very Linear Ramp-Generators for High Resolution ADC BIST and Calibration. In Midwest Symposium on Circuits and Systems.
[86]
Xiao Wei Wang, Dan Nie, and Bao Liang Lu. 2014. Emotional State Classification from EEG Data Using Machine Learning Approach. Neurocomputing 129 (2014), 94--106.
[87]
Marco Weiergräber, Anna Papazoglou, Karl Broich, and Ralf Müller. 2016. Sampling Rate, Signal Bandwidth and Related Pitfalls in EEG Analysis. Journal of Neuroscience Methods 268 (2016), 53--55.
[88]
Francis R. Willett, Donald T. Avansino, Leigh R. Hochberg, Jaimie M. Henderson, and Krishna V. Shenoy. 2021. High-Performance Brain-to-Text Communication via Handwriting. Nature 593, 7858 (2021), 249--254.
[89]
Thomas A. Wozny, Witold J. Lipski, Ahmad Alhourani, Efstathios D. Kondylis, Arun Antony, and R. Mark Richardson. 2017. Effects of Hippocampal Low-Frequency Stimulation in Idiopathic Non-Human Primate Epilepsy Assessed via A Remote-Sensing-Enabled Neurostimulator. Experimental Neurology 294 (2017), 68--77.
[90]
Di Wu, Yun Chen, Qichen Zhang, Yeong-Luh Ueng, and Xiaoyang Zeng. 2016. Strategies for Reducing Decoding Cycles in Stochastic LDPC Decoders. IEEE Transactions on Circuits and Systems II: Express Briefs 63, 9 (2016), 873--877.
[91]
Di Wu, Jingjie Li, Ruokai Yin, Hsuan Hsiao, Younghyun Kim, and Joshua San Miguel. 2020. uGEMM: Unary Computing Architecture for GEMM Applications. In International Symposium on Computer Architecture.
[92]
Di Wu, Jingjie Li, Ruokai Yin, Hsuan Hsiao, Younghyun Kim, and Joshua San Miguel. 2021. uGEMM: Unary Computing for GEMM Applications. IEEE Micro 41, 3 (2021), 50--56.
[93]
Di Wu and Joshua San Miguel. 2022. uSystolic: Byte-Crawling Unary Systolic Array. In International Symposium on High-Performance Computer Architecture.
[94]
Di Wu and Joshua San Miguel. 2019. In-Stream Stochastic Division and Square Root via Correlation. In Design Automation Conference.
[95]
Di Wu, Ruokai Yin, and Joshua San Miguel. 2021. In-Stream Correlation-Based Division and Bit-Inserting Square Root in Stochastic Computing. IEEE Design & Test 38, 6 (2021), 53--59.
[96]
Il Min Yi, Naoki Miura, and Hideyuki Nosaka. 2021. A 4-GS/s 11.3-mW 7-bit Time-Based ADC With Folding Voltage-to-Time Converter and Pipelined TDC in 65-nm CMOS. IEEE Journal of Solid-State Circuits 56, 2 (2021), 465--475.
[97]
Dalin Zhang, Lina Yao, Xiang Zhang, Sen Wang, Weitong Chen, and Robert Boots. 2018. Cascade and Parallel Convolutional Recurrent Neural Networks on EEG-Based Intention Recognition for Brain Computer Interface. In Conference on Artificial Intelligence.
[98]
Guo Bing Zhou, Jianxin Wu, Chen Lin Zhang, and Zhi Hua Zhou. 2016. Minimal Gated Unit for Recurrent Neural Networks. International Journal of Automation and Computing 13, 3 (2016), 226--234.
[99]
Junwei Zhou and Andrew Mason. 2002. Communication Buses and Protocols for Sensor Networks. Sensors 2, 7 (2002), 244--257.

Cited By

View all
  • (2024)All You Need is Unary: End-to-End Unary Bit-stream Processing in Hyperdimensional ComputingProceedings of the 29th ACM/IEEE International Symposium on Low Power Electronics and Design10.1145/3665314.3670834(1-6)Online publication date: 5-Aug-2024
  • (2024)Rearchitecting a Neuromorphic Processor for Spike-Driven Brain-Computer Interfacing2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO61859.2024.00082(1073-1089)Online publication date: 2-Nov-2024
  • (2024)Towards Cognitive AI Systems: Workload and Characterization of Neuro-Symbolic AI2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS61541.2024.00033(268-279)Online publication date: 5-May-2024
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '22: Proceedings of the 49th Annual International Symposium on Computer Architecture
June 2022
1097 pages
ISBN:9781450386104
DOI:10.1145/3470496
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEEE CS TCAA: IEEE CS technical committee on architectural acoustics

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 11 June 2022

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. brain computer interface
  2. neural networks
  3. power efficiency
  4. stochastic computing
  5. temporal computing
  6. unary computing

Qualifiers

  • Research-article

Funding Sources

Conference

ISCA '22
Sponsor:

Acceptance Rates

ISCA '22 Paper Acceptance Rate 67 of 400 submissions, 17%;
Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)392
  • Downloads (Last 6 weeks)54
Reflects downloads up to 12 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2024)All You Need is Unary: End-to-End Unary Bit-stream Processing in Hyperdimensional ComputingProceedings of the 29th ACM/IEEE International Symposium on Low Power Electronics and Design10.1145/3665314.3670834(1-6)Online publication date: 5-Aug-2024
  • (2024)Rearchitecting a Neuromorphic Processor for Spike-Driven Brain-Computer Interfacing2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO61859.2024.00082(1073-1089)Online publication date: 2-Nov-2024
  • (2024)Towards Cognitive AI Systems: Workload and Characterization of Neuro-Symbolic AI2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS61541.2024.00033(268-279)Online publication date: 5-May-2024
  • (2023)Digital Implementation of Radial Basis Function Neural Networks Based on Stochastic ComputingIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2022.323170813:1(257-269)Online publication date: Mar-2023
  • (2022)Recent Developments in Low-Power AI Accelerators: A SurveyAlgorithms10.3390/a1511041915:11(419)Online publication date: 8-Nov-2022

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media