[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
research-article

Clock Tree synthesis for TSV-based 3D IC designs

Published: 27 October 2011 Publication History

Abstract

For the cost-effective implementation of clock trees in through-silicon via (TSV)-based 3D IC designs, we propose core algorithms for 3D clock tree synthesis. For a given abstract tree topology, we propose DLE-3D (deferred layer embedding for 3D ICs), which optimally finds the embedding layers of tree nodes, so that the TSV cost required for a tree topology is minimized, and DME-3D (deferred merge embedding for 3D ICs), which is an extended algorithm of the 2D merging segment, to minimize the total wirelength in 3D design space, with the consideration of the TSV effect on delay. In addition, when an abstract tree topology is not given, we propose NN-3D (nearest neighbor selection for 3D ICs), which constructs a (TSV and wirelength) cost-effective abstract tree topology for 3D ICs. Through experimentation, we have confirmed that the clock tree synthesis flow using the proposed algorithms is very effective, outperforming the existing 3D clock tree synthesis in terms of the number of TSVs, total wirelength, and clock power consumption.

References

[1]
Arunachalam, V. and Burleson, W. 2008. Low-power clock distribution in a multilayer core 3d microprocessor. In Proceedings of the 18th ACM Great Lakes Symposium on VLSI. ACM, New York, 429--434.
[2]
Banerjee, K., Souri, S., Kapur, P., and Saraswat, K. 2001. 3-D ics: A novel chip design for improving deep-submicrometer interconnect performance and system-on-chip integration. Proc. IEEE 89, 5, 602--633.
[3]
Chao, T.-H., Hsu, Y.-C., Ho, J.-M., Boese, K. D., and Kahng, A. B. 1992. Zero-skew clock routing with minimum wirelength. IEEE Trans. Circuits Syst. 39, 11, 799--814.
[4]
Chaturvedi, R. and Hu, J. 2004. Buffered clock tree for high quality ic design. In Proceedings of the 5th International Symposium on Quality Electronic Design. IEEE, Los Alamitos, CA, 381--386.
[5]
Edahiro, M. 1993. A clustering-based optimization algorithm in zero-skew routings. In Proceedings of the 30th Design Automation Conference. ACM/IEEE, 612--616.
[6]
Edahiro, M. 1994. An efficient zero-skew routing algorithm. In Proceedings of the 31st Design Automation Conference. ACM/IEEE, 375--380.
[7]
ISPD. 2009. Ispd 2009 clock network synthesis contest. http://www.sigda.org/ispd/contests/09/ispd09cts.html.
[8]
ITRS. 2009. International technology roadmap for semiconductors. http://www.itrs.net/.
[9]
Jackson, M. A. B., Srinnivasan, A., and Kuh, E. S. 1990. Clock routing for high-performance ics. In Proceedings of the 27th Design Automation Conference. ACM/IEEE, 573--579.
[10]
Kim, T.-Y. and Kim, T. 2010a. Bounded skew clock routing for 3d stacked ic designs: enabling trade-offs between power and clock skew. In Proceedings of the 2010 International Green Computing Conference. IEEE, Los Alamitos, CA, 525--532.
[11]
Kim, T.-Y. and Kim, T. 2010b. Clock tree embedding for 3d ics. In Proceedings of the 15th Asia and South Pacific Design Automation Conference. IEEE, Los Alamitos, CA, 486--491.
[12]
Kim, T.-Y. and Kim, T. 2010c. Clock tree synthesis with prebond testability for 3D stacked ic designs. In Proceedings of the 47th Design Automation Conference. ACM/IEEE, 723--728.
[13]
Lim, S. K. 2010. Tsv-aware 3D physical design tool needs for faster mainstream acceptance of 3D ics. http://webadmin.dac.com/knowledgecenter/2010/documents/LIM-3D_Posted.pdf. DAC.COM Knowledge Center Article.
[14]
Minz, J., Zhao, X., and Lim, S. K. 2008. Buffered clock tree synthesis for 3D ics under thermal variations. In Proceedings of the 13th Asia and South Pacific Design Automation Conference. IEEE, Los Alamitos, CA, 504--509.
[15]
Mondal, M., Ricketts, A. J., Kirolos, S., Ragheb, T., Link, G., Vijaykrishnan, N., and Massoud, Y. 2007. Thermally robust clocking schemes for 3D integrated circuits. In Proceedings of the Conference on Design, Automation and Test in Europe. IEEE, Los Alamitos, CA, 1206--1211.
[16]
Pavlidis, V. F., Savidis, I., and Friedman, E. G. 2008. Clock distribution networks for 3-D integrated circuits. In Proceedings of the IEEE 2008 Custom Integrated Circuits Conference. IEEE, Los Alamitos, CA, 651--654.
[17]
PTM. Predictive technology model. http://ptm.asu.edu/.
[18]
Reif, R., Fan, A., Chen, K.-N., and Das, S. 2002. Fabrication technologies for three-dimensional integrated circuits. In Proceedings of the 3rd International Symposium on Quality Electronic Design. IEEE, Los Alamitos, CA, 33--37.
[19]
Restle, R. J. et al. 2001. A clock distribution network for microprocessors. IEEE J. Solid-State Circuits 36, 5, 792--799.
[20]
Takahashi, A., Inoue, K., and Kajitani, Y. 1997. Clock-tree routing realizing a clock-schedule for semisynchronous circuits. In Proceedings of the International Conference on Computer-Aided Design. ACM/IEEE, Los Alamitos, CA, 260--265.
[21]
Tezzaron. 2010. 3D ic industry summary, http://www.tezzaron.com/technology/3D_IC_Summary.html.
[22]
Zhao, X., Lewis, D. L., Lee, H.-H. S., and Lim, S. K. 2009. Prebond testable low-power clock tree design for 3D stacked ics. In Proceedings of the International Conference on Computer-Aided Design. ACM/IEEE, Los Alamitos, CA, 184--190.
[23]
Zhao, X. and Lim, S. K. 2010. Power and slew-aware clock network design for through-silicon-via (tsv) based 3D ics. In Proceedings of the 15th Asia and South Pacific Design Automation Conference. IEEE, Los Alamitos, CA, 175--180.

Cited By

View all
  • (2024)Physics-Informed Learning Based Multiphysics Simulation for Fast Transient TSV Electromigration AnalysisACM Transactions on Design Automation of Electronic Systems10.1145/370610630:2(1-22)Online publication date: 29-Nov-2024
  • (2024)Ternary Clock Tree Synthesis for 3D ICs2024 20th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD)10.1109/SMACD61181.2024.10745448(1-4)Online publication date: 2-Jul-2024
  • (2022)Specializing CGRAs for Light-Weight Convolutional Neural NetworksIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312317841:10(3387-3399)Online publication date: 1-Oct-2022
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 16, Issue 4
October 2011
326 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/2003695
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 27 October 2011
Accepted: 01 April 2011
Revised: 01 January 2011
Received: 01 October 2010
Published in TODAES Volume 16, Issue 4

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. 3D ICs
  2. TSV
  3. clock tree synthesis
  4. optimization
  5. routing

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)70
  • Downloads (Last 6 weeks)4
Reflects downloads up to 20 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2024)Physics-Informed Learning Based Multiphysics Simulation for Fast Transient TSV Electromigration AnalysisACM Transactions on Design Automation of Electronic Systems10.1145/370610630:2(1-22)Online publication date: 29-Nov-2024
  • (2024)Ternary Clock Tree Synthesis for 3D ICs2024 20th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD)10.1109/SMACD61181.2024.10745448(1-4)Online publication date: 2-Jul-2024
  • (2022)Specializing CGRAs for Light-Weight Convolutional Neural NetworksIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312317841:10(3387-3399)Online publication date: 1-Oct-2022
  • (2020)Improving Performance and Energy Consumption in Embedded Systems via Binary Acceleration: A SurveyACM Computing Surveys10.1145/336976453:1(1-36)Online publication date: 6-Feb-2020
  • (2019)Thermal-aware 3D Symmetrical Buffered Clock Tree SynthesisACM Transactions on Design Automation of Electronic Systems10.1145/331379824:3(1-22)Online publication date: 5-Apr-2019
  • (2018)Thermal-Aware 3D Symmetrical Buffered Clock Tree Synthesis2018 IEEE 36th International Conference on Computer Design (ICCD)10.1109/ICCD.2018.00013(9-16)Online publication date: Oct-2018
  • (2017)Low-Power Clock Tree Synthesis for 3D-ICsACM Transactions on Design Automation of Electronic Systems10.1145/301961022:3(1-24)Online publication date: 5-Apr-2017
  • (2017)TSV-Based 3-D ICs: Design Methods and ToolsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.266660436:10(1593-1619)Online publication date: 1-Oct-2017
  • (2017)Clock tree synthesis for heterogeneous 3-D integrated circuits2017 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)10.1109/SLIP.2017.7974911(1-6)Online publication date: 27-Jun-2017
  • (2017)Clock buffer polarity assignment under useful skew constraintsIntegration, the VLSI Journal10.1016/j.vlsi.2016.11.00757:C(52-61)Online publication date: 1-Mar-2017
  • Show More Cited By

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media