[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
article

Power reduction techniques for microprocessor systems

Published: 01 September 2005 Publication History

Abstract

Power consumption is a major factor that limits the performance of computers. We survey the “state of the art” in techniques that reduce the total power consumed by a microprocessor system over time. These techniques are applied at various levels ranging from circuits to architectures, architectures to system software, and system software to applications. They also include holistic approaches that will become more important over the next decade. We conclude that power management is a multifaceted discipline that is continually expanding with new techniques being developed at every level. These techniques may eventually allow computers to break through the “power wall” and achieve unprecedented levels of performance, versatility, and reliability. Yet it remains too early to tell which techniques will ultimately solve the power problem.

References

[1]
AbouGhazaleh, N., Childers, B., Mosse, D., Melhem, R., and Craven, M. 2003. Energy management for real-time embedded applications with compiler support. In Proceedings of the ACM SIGPLAN Conference on Languages, Compilers, and Tools for Embedded Systems. ACM Press, 284--293.]]
[2]
Albonesi, D., Dropsho, S., Dwarkadas, S., Friedman, E., Huang, M., Kursun, V., Magklis, G., Scott, M., Semeraro, G., Bose, P., Buyuktosunoglu, A., Cook, P., and Schuster, S. 2003. Dynamically tuning processor resources with adaptive processing. IEEE Computer Magazine 36, 12, 49--58.]]
[3]
Anand, M., Nightingale, E., and Flinn, J. 2004. Ghosts in the machine: Interfaces for better power management. In Proceedings of the International Conference on Mobile Systems, Applications, and Services. 23--35.]]
[4]
Azevedo, A., Issenin, I., Cornea, R., Gupta, R., Dutt, N., Veidenbaum, A., and Nicolau, A. 2002. Profile-based dynamic voltage scheduling using program checkpoints. In Proceedings of the Conference on Design, Automation and Test in Europe. 168--175.]]
[5]
Bahar, R. I. and Manne, S. 2001. Power and energy reduction via pipeline balancing. In Proceedings of the 28th Annual International Symposium on Computer Architecture. ACM Press, 218--229.]]
[6]
Banakar, R., Steinke, S., Lee, B.-S., Balakrishnan, M., and Marwedel, P. 2002. Scratchpad memory: Design alternative for cache on-chip memory in embedded systems. In Proceedings of the 10th International Symposium on Hardware/Software Codesign. 73--78.]]
[7]
Banerjee, K. and Mehrotra, A. 2001. Global interconnect warming. IEEE Circuits and Devices Magazine 17, 5, 16--32.]]
[8]
Bishop, B. and Irwin, M. J. 1999. Databus charge recovery: practical considerations. In Proceedings of the International Symposium on Low Power Electronics and Design. 85--87.]]
[9]
Brock, B. and Rajamani, K. 2003. Dynamic power management for embedded systems. In Proceedings of the IEEE International SOC Conference. 416--419.]]
[10]
Buttazzo, G. C. 2002. Scalable applications for energy-aware processors. In Proceedings of the 2nd International Conference On Embedded Software. Springer-Verlag, 153--165.]]
[11]
Butts, J. A. and Sohi, G. S. 2000. A static power model for architects. In Proceedings of the 33rd Annual ACM/IEEE International Symposium on Microarchitecture. Monterey, CA. 191--201.]]
[12]
Buyuktosunoglu, A., Schuster, S., Brooks, D., Bose, P., Cook, P. W., and Albonesi, D. 2001. An adaptive issue queue for reduced power at high performance. In Proceedings of the 1st International Workshop on Power-Aware Computer Systems. 25--39.]]
[13]
Calhoun, B. H., Honore, F. A., and Chandrakasan, A. 2003. Design methodology for fine-grained leakage control in MTCMOS. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 104--109.]]
[14]
Chen, D., Cong, J., Li, F., and He, L. 2004. Low-power technology mapping for FPGA architectures with dual supply voltages. In Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays. ACM Press, 109--117.]]
[15]
Chen, G., Kang, B., Kandemir, M., Vijaykrishnan, N., and Irwin, M. 2003. Energy-aware compilation and execution in java-enabled mobile devices. In Proceedings of the 17th Parallel and Distributed Processing Symposium. IEEE Press, 34a.]]
[16]
Choi, K., Soma, R., and Pedram, M. 2004. Dynamic voltage and frequency scaling based on workload decomposition. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 174--179.]]
[17]
Clements, P. C. 1996. A survey of architecture description languages. In Proceedings of the 8th International Workshop on Software Specification and Design. IEEE Computer Society, 16--25.]]
[18]
Dally, W. J. and Towles, B. 2001. Route packets, not wires: on-chip inteconnection networks. In Proceedings of the 38th Conference on Design Automation. ACM Press, 684--689.]]
[19]
Dalton, A. B. and Ellis, C. S. 2003. Sensing user intention and context for energy management. In Proceedings of the 9th Workshop on Hot Topics in Operating Systems. 151--156.]]
[20]
De, V. and Borkar, S. 1999. Technology and design challenges for low power and high performance. In Proceedings of the International Symposium on Low Power Electronics and Design ISLPED'99. ACM Press, 163--168.]]
[21]
Dhar, S., Maksimovic, D., and Kranzen, B. 2002. Closed-loop adaptive voltage scaling controller for standard-cell asics. In Proceedings of the International Symposium on Low Power Electronics and Design ISLPED'02. ACM Press, 103--107.]]
[22]
Diniz, P. C. 2003. A compiler approach to performance prediction using empirical-based modeling. In International Conference On Computational Science. 916--925.]]
[23]
Dropsho, S., Buyuktosunoglu, A., Balasubramonian, R., Albonesi, D. H., Dwarkadas, S., Semeraro, G., Magklis, G., and Scott, M. L. 2002. Integrating adaptive on-chip storage structures for reduced dynamic power. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. IEEE Computer Society, 141--152.]]
[24]
Dropsho, S., Semeraro, G., Albonesi, D. H., Magklis, G., and Scott, M. L. 2004. Dynamically trading frequency for complexity in a gals microprocessor. In Proceedings of the 37th International Symposium on Microarchitecture. IEEE Computer Society, 157--168.]]
[25]
Dudani, A., Mueller, F., and Zhu, Y. 2002. Energy-conserving feedback EDF scheduling for embedded systems with real-time constraints. In Proceedings of the Joint Conference on Languages, Compilers, and Tools for Embedded Systems. ACM Press, 213--222.]]
[26]
Dyer, C. 2004. Fuel cells and portable electronics. In Symposium On VLSI Circuits Digest of Technical Papers (2004). 124--127.]]
[27]
Ebergen, J., Gainsley, J., and Cunningham, P. 2004. Transistor sizing: How to control the speed and energy consumption of a circuit. In the 10th International Symposium on Asynchronous Circuits and Systems. 51--61.]]
[28]
Epstein, A. 2004. Millimeter-scale, micro-electromechanical systems gas turbine engines. J. Eng. Gas Turb. Power 126, 205--226.]]
[29]
Ernst, D., Kim, N., Das, S., Pant, S., Rao, R., Pham, T., Ziesler, C., Blaauw, D., Austin, T., Flautner, K., and Mudge, T. 2003. Razor: A low-power pipeline based on circuit-level timing speculation. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 7--18.]]
[30]
Fan, X., Ellis, C. S., and Lebeck, A. R. 2003. Synergy between power-aware memory systems and processor voltage scaling. In Proceedings of the Workshop on Power-Aware Computer Systems. 164--179.]]
[31]
Fei, Y., Zhong, L., and Jha, N. 2004. An energy-aware framework for coordinated dynamic software management in mobile computers. In Proceedings of the IEEE Computer Society's 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems. 306--317.]]
[32]
Ferdinand, C. 1997. Cache behavior prediction for real time systems. Ph.D. thesis, Universität des Saarlandes.]]
[33]
Flautner, K., Reinhardt, S., and Mudge, T. 2001. Automatic performance setting for dynamic voltage scaling. In Proceedings of the 7th Annual International Conference on Mobile Computing and Networking. ACM Press, 260--271.]]
[34]
Flinn, J. and Satyanarayanan, M. 1999. Energy-aware adaptation for mobile applications. In Proceedings of the 17th ACM Symposium on Operating Systems Principles. ACM Press, 48--63.]]
[35]
Folegnani, D. and Gonzalez, A. 2001. Energy-effective issue logic. In Proceedings of the 28th Annual International Symposium on Computer Architecture. ACM Press, 230--239.]]
[36]
Gao, F. and Hayes, J. P. 2003. ILP-based optimization of sequential circuits for low power. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 140--145.]]
[37]
Genossar, D. and Shamir, N. 2003. Intel Pentium M processor power estimation, budgeting, optimization, and validation. Intel. Tech. J. 7, 2, 44--49.]]
[38]
Ghose, K. and Kamble, M. B. 1999. Reducing power in superscalar processor caches using subbanking, multiple line buffers, and bit-line segmentation. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 70--75.]]
[39]
Givargis, T., Vahid, F., and Henkel, J. 2001. System-level exploration for pareto-optimal configurations in parameterized systems-on-a-chip. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. IEEE Press, 25--30.]]
[40]
Gochman, S., Ronen, R., Anati, I., Berkovits, A., Kurts, T., Naveh, A., Saeed, A., Sperber, Z., and Valentine, R. 2003. The Intel Pentium M processor: Microarchitecture and performance. Intel. Tech. J. 7, 2, 21--59.]]
[41]
Gomory, R. E. and Hu, T. C. 1961. Multi-terminal network flows. J. SIAM 9, 4, 551--569.]]
[42]
Govil, K., Chan, E., and Wasserman, H. 1995. Comparing algorithms for dynamic speed-setting of a low-power CPU. In Proceedings of the 1st Annual International Conference on Mobile Computing and Networking. ACM Press, 13--25.]]
[43]
Gruian, F. 2001. Hard real-time scheduling for low-energy using stochastic data and DVS processors. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 46--51.]]
[44]
Gunther, S., Binns, F., Carmean, D., and Hall, J. 2001. Managing the impact of increasing microprocessor power consumption. Intel Tech. J.]]
[45]
Gurumurthi, S., Sivasubramaniam, A., Kandemir, M., and Franke, H. 2003. DRPM: Dynamic speed control for power management in server class disks. SIGARCH Comput. Architect. News 31, 2, 169--181.]]
[46]
Heath, T., Pinheiro, E., Hom, J., Kremer, U., and Bianchini, R. 2004. Code transformations for energy-efficient device management. IEEE Trans. Comput. 53, 8, 974--987.]]
[47]
Hinton, G., Sager, D., Upton, M., Boggs, D., Carmean, D., Kyker, A., and Roussel, P. 2004. The microarchitecture of the Intel Pentium 4 processor on 90nm technology. Intel Tech. J. 8, 1, 1--17.]]
[48]
Ho, Y.-T. and Hwang, T.-T. 2004. Low power design using dual threshold voltage. In Proceedings of the Conference on Asia South Pacific Design Automation IEEE Press, (Piscataway, NJ,) 205--208.]]
[49]
Hom, J. and Kremer, U. 2003. Energy management of virtual memory on diskless devices. In Compilers and Operatings Systems for Low Power. Kluwer Academic Publishers, Norwell, MA. 95--113.]]
[50]
Hossain, R., Zheng, M. and Albicki, A. 1996. Reducing power dissipation in CMOS circuits by signal probability based transistor reording. In IEEE Trans. Comput.-Aided Design Integrated Circuits Syst. 15, 3, 361--368.]]
[51]
Hsu, C. and Feng, W. 2004. Effective dynamic voltage scaling through cpu-boundedness detection. In Workshop on Power Aware Computing Systems.]]
[52]
Hsu, C.-H. and Kremer, U. 2003. The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction. In Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation. ACM Press, 38--48.]]
[53]
Hu, J., Irwin, M., Vijaykrishnan, N., and Kandemir, M. 2002. Selective trace cache: A low power and high performance fetch mechanism. Tech. Rep. CSE-02-016, Department of Computer Science and Engineering, The Pennsylvania State University (Oct.).]]
[54]
Hu, J., Vijaykrishnan, N., Irwin, M., and Kandemir, M. 2003. Using dynamic branch behavior for power-efficient instruction fetch. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. 127--132.]]
[55]
Hu, J. S., Nadgir, A., Vijaykrishnan, N., Irwin, M. J., and Kandemir, M. 2003. Exploiting program hotspots and code sequentiality for instruction cache leakage management. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 402--407.]]
[56]
Huang, M., Renau, J., Yoo, S.-M., and Torrellas, J. 2000. A framework for dynamic energy efficiency and temperature management. In Proceedings of the 33rd Annual ACM/IEEE International Symposium on Microarchitecture. ACM Press, 202--213.]]
[57]
Huang, M. C., Renau, J., and Torrellas, J. 2003. Positional adaptation of processors: application to energy reduction. In Proceedings of the 30th Annual International Symposium on Computer Architecture ISCA '03. ACM Press, 157--168.]]
[58]
Hughes, C. J. and Adve, S. V. 2004. A formal approach to frequent energy adaptations for multimedia applications. In Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA'04). IEEE Computer Society, 138.]]
[59]
Hughes, C. J., Srinivasan, J., and Adve, S. V. 2001. Saving energy with architectural and frequency adaptations for multimedia applications. In Proceedings of the 34th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO'34). IEEE Computer Society, 250--261.]]
[60]
Intel Corporation. 2004. Wireless Intel Speedstep Power Manager. Intel Corporation.]]
[61]
Isci, C. and Martonosi, M. 2003. Runtime power monitoring in high-end processors: Methodology and empirical data. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'36). IEEE Computer Society, 93--104.]]
[62]
Ishihara, T. and Yasuura, H. 1998. Voltage scheduling problem for dynamically variable voltage processors. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 197--202.]]
[63]
ITRSRoadmap. The International Technology Roadmap for Semiconductors. Available at http://public.itrs.net.]]
[64]
Iyer, A. and Marculescu, D. 2001. Power aware microarchitecture resource scaling. In Proceedings of the Conference on Design, Automation and Test in Europe. IEEE Press, 190--196.]]
[65]
Iyer, A. and Marculescu, D. 2002a. Microarchitecture-level power management. IEEE Trans. VLSI Syst. 10, 3, 230--239.]]
[66]
Iyer, A. and Marculescu, D. 2002b. Power efficiency of voltage scaling in multiple clock, multiple voltage cores. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. ACM Press, 379--386.]]
[67]
Jone, W.-B., Wang, J. S., Lu, H.-I., Hsu, I. P., and Chen, J.-Y. 2003. Design theory and implementation for low-power segmented bus systems. ACM Trans. Design Autom. Electr. Syst. 8, 1, 38--54.]]
[68]
Kabadi, M., Kannan, N., Chidambaram, P., Narayanan, S., Subramanian, M., and Parthasarathi, R. 2002. Dead-block elimination in cache: A mechanism to reduce i-cache power consumption in high performance microprocessors. In Proceedings of the International Conference on High Performance Computing. Springer Verlag, 79--88.]]
[69]
Kandemir, M., Ramanujam, J., and Choudhary, A. 2002. Exploiting shared scratch pad memory space in embedded multiprocessor systems. In Proceedings of the 39th Conference on Design Automation. ACM Press, 219--224.]]
[70]
Kaxiras, S., Hu, Z., and Martonosi, M. 2001. Cache decay: exploiting generational behavior to reduce cache leakage power. In Proceedings of the 28th Annual International Symposium on Computer Architecture. ACM Press, 240--251.]]
[71]
Kim, C. and Roy, K. 2002. Dynamic Vth scaling scheme for active leakage power reduction. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition. IEEE Computer Society, 0163--0167.]]
[72]
Kim, N. S., Flautner, K., Blaauw, D., and Mudge, T. 2002. Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. In Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture. IEEE Computer Society Press, 219--230.]]
[73]
Kin, J., Gupta, M., and Mangione-Smith, W. H. 1997. The filter cache: An energy efficient memory structure. In Proceedings of the 30th Annual ACM/IEEE International Symposium on Microarchitecture. IEEE Computer Society, 184--193.]]
[74]
Kistler, T. and Franz, M. 2001. Continuous program optimization: design and evaluation. IEEE Trans. Comput. 50, 6, 549--566.]]
[75]
Kistler, T. and Franz, M. 2003. Continuous program optimization: A case study. ACM Trans. Program. Lang. Syst. 25, 4, 500--548.]]
[76]
Kobayashi and Sakurai. 1994. Self-adjusting threshold voltage scheme (SATS) for low-voltage high-speed operation. In Proceedings of the IEEE Custom Integrated Circuits Conference. 271--274.]]
[77]
Kondo, M. and Nakamura, H. 2004. Dynamic processor throttling for power efficient computations. In Workshop on Power Aware Computing Systems.]]
[78]
Kong, B., Kim, S., and Jun, Y. 2001. Conditional-capture flip-flop for statistical power reduction. IEEE J. Solid State Circuits 36, 8, 1263-- 1271.]]
[79]
Krane, R., Parsons, J., and Bar-Cohen, A. 1988. Design of a candidate thermal control system for a cryogenically cooled computer. IEEE Trans. Components, Hybrids, Manufact. Techn. 11, 4, 545--556.]]
[80]
Kravets, R. and Krishnan, P. 1998. Power management techniques for mobile communication. In Proceedings of the 4th Annual ACM/IEEE International Conference on Mobile Computing and Networking. ACM Press, 157--168.]]
[81]
Kursun, E., Ghiasi, S., and Sarrafzadeh, M. 2004. Transistor level budgeting for power optimization. In Proceedings of the 5th International Symposium on Quality Electronic Design. 116--121.]]
[82]
Lebeck, A. R., Fan, X., Zeng, H., and Ellis, C. 2000. Power aware page allocation. In Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems (2000). ACM Press, 105--116.]]
[83]
Lee, S. and Sakurai, T. 2000. Run-time voltage hopping for low-power real-time systems. In Proceedings of the 37th Conference on Design Automation. ACM Press, 806--809.]]
[84]
Li, H., Katkoori, S., and Mak, W.-K. 2004. Power minimization algorithms for LUT-based FPGA technology mapping. ACM Trans. Design Autom. Electr. Syst. 9, 1, 33--51.]]
[85]
Li, X., Li, Z., David, F., Zhou, P., Zhou, Y., Adve, S., and Kumar, S. 2004. Performance directed energy management for main memory and disks. In Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-XI). ACM Press, New York, NY, 271--283.]]
[86]
Lim, S.-S., Bae, Y. H., Jang, G. T., Rhee, B.-D., Min, S. L., Park, C. Y., Shin, H., Park, K., Moon, S.-M., and Kim, C. S. 1995. An accurate worst case timing analysis for RISC processors. IEEE Trans. Softw. Eng. 21, 7, 593--604.]]
[87]
Liu, M., Wang, W.-S., and Orshansky, M. 2004. Leakage power reduction by dual-vth designs under probabilistic analysis of vth variation. In Proceedings of the International Symposium on Low Power Electronics and Design ACM Press, New York, NY, 2--7.]]
[88]
Llopis, R. and Sachdev, M. 1996. Low power, testable dual edge triggered flip-flops. In Proceedings of the International Symposium on Low Power Electronics and Design. IEEE Press, 341--345.]]
[89]
Lorch, J. and Smith, A. 2001. Improving dynamic voltage scaling algorithms with PACE. In Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems. ACM Press, 50--61.]]
[90]
Luz, V. D. L., Kandemir, M., and Kolcu, I. 2002. Automatic data migration for reducing energy consumption in multi-bank memory systems. In Proceedings of the 39th Conference on Design Automation. ACM Press, 213--218.]]
[91]
Lyuboslavsky, V., Bishop, B., Narayanan, V., and Irwin, M. J. 2000. Design of databus charge recovery mechanism. In Proceedings of the International Conference on ASIC. ACM Press, 283--287.]]
[92]
Magklis, G., Scott, M. L., Semeraro, G., Albonesi, D. H., and Dropsho, S. 2003. Profile-based dynamic voltage and frequency scaling for a multiple clock domain microprocessor. In Proceedings of the 30th Annual International Symposium on Computer Architecture. ACM Press, 14--27.]]
[93]
Magklis, G., Semeraro, G., Albonesi, D., Dropsho, S., Dwarkadas, S., and Scott, M. 2003. Dynamic frequency and voltage scaling for a multiple-clock-domain microprocessor. IEEE Micro 23, 6, 62--68.]]
[94]
Marculescu, D. 2004. Application adaptive energy efficient clustered architectures. In Proceedings of the International Symposium on Low Power Electronics and Design. 344--349.]]
[95]
Martin, T. and Siewiorek, D. 2001. Nonideal battery and main memory effects on cpu speed-setting for low power. IEEE Tran. (VLSI) Syst. 9, 1, 29--34.]]
[96]
Meng. Y., Sherwood, T., and Kastner, R. 2005. Exploring the limits of leakage power reduction in caches. ACM Trans. Architecture Code Optimiz., 1, 221--246.]]
[97]
Mohapatra, S., Cornea, R., Dutt, N., Nicolau, A., and Venkatasubramanian, N. 2003. Integrated power management for video streaming to mobile handheld devices. In Proceedings of the 11th ACM International Conference on Multimedia. ACM Press, 582--591.]]
[98]
Nedovic, N., Aleksic, M., and Oklobdzija, V. 2001. Conditional techniques for low power consumption flip-flops. In Proceedings of the 8th International Conference on Electronics, Circuits, and Systems. 803--806.]]
[99]
Nilsen, K. D. and Rygg, B. 1995. Worst-case execution time analysis on modern processors. In Proceedings of the ACM SIGPLAN Workshop on Languages, Compilers and Tools for Real-Time Systems. ACM Press, 20--30.]]
[100]
Palm, J., Lee, H., Diwan, A., and Moss, J. E. B. 2002. When to use a compilation service? In Proceedings of the Joint Conference on Languages, Compilers, and Tools for Embedded Systems. ACM Press, 194--203.]]
[101]
Panda, P. R., Dutt, N. D., and Nicolau, A. 2000. On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems. ACM Trans. Design Autom. Electr. Syst. 5, 3, 682--704.]]
[102]
Papathanasiou, A. E. and Scott, M. L. 2002. Increasing disk burstiness for energy efficiency. Technical Report 792 (November), Department of Computer Science, University of Rochester (Nov.).]]
[103]
Patel, K. N. and Markov, I. L. 2003. Error-correction and crosstalk avoidance in dsm busses. In Proceedings of the International Workshop on System-Level Interconnect Prediction. ACM Press, 9--14.]]
[104]
Penzes, P., Nystrom, M., and Martin, A. 2002. Transistor sizing of energy-delay-efficient circuits. Tech. Rep. 2002003, Department of Computer Science, California Institute of Technology.]]
[105]
Pereira, C., Gupta, R., and Srivastava, M. 2002. PASA: A software architecture for building power aware embedded systems. In Proceedings of the IEEE CAS Workshop on Wireless Communication and Networking.]]
[106]
Pollack, F. 1999. New microarchitecture challenges in the coming generations of CMOS process technologies. International Symposium on Microarchitecture.]]
[107]
Ponomarev, D., Kucuk, G., and Ghose, K. 2001. Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources. In Proceedings of the 34th Annual ACM/IEEE International Symposium on Microarchitecture. IEEE Computer Society, 90--101.]]
[108]
Powell, M., Yang, S.-H., Falsafi, B., Roy, K., and Vijaykumar, T. N. 2001. Reducing leakage in a high-performance deep-submicron instruction cache. IEEE Trans. VLSI Syst. 9, 1, 77--90.]]
[109]
Rutenbar, R. A., Carley, L. R., Zafalon, R., and Dragone, N. 2001. Low-power technology mapping for mixed-swing logic. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 291--294.]]
[110]
Sachs, D., Adve, S., and Jones, D. 2003. Cross-layer adaptive video coding to reduce energy on general purpose processors. In Proceedings of the International Conference on Image Processing. 109--112.]]
[111]
Sasanka, R., Hughes, C. J., and Adve, S. V. 2002. Joint local and global hardware adaptations for energy. SIGARCH Computer Architecture News 30, 5, 144--155.]]
[112]
Schmidt, R. and Notohardjono, B. 2002. High-end server low-temperature cooling. IBM J. Res. Devel. 46, 6, 739--751.]]
[113]
Semeraro, G., Albonesi, D. H., Dropsho, S. G., Magklis, G., Dwarkadas, S., and Scott, M. L. 2002. Dynamic frequency and voltage control for a multiple clock domain microarchitecture. In Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture. IEEE Computer Society Press, 356--367.]]
[114]
Semeraro, G., Magklis, G., Balasubramonian, R., Albonesi, D. H., Dwarkadas, S., and Scott, M. L. 2002. Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling. In Proceedings of the 8th International Symposium on High-Performance Computer Architecture. IEEE Computer Society, 29--40.]]
[115]
Seta, K., Hara, H., Kuroda, T., Kakumu, M., and Sakurai, T. 1995. 50&percent; active-power saving without speed degradation using standby power reduction (SPR) circuit. In Proceedings of the IEEE International Solid-State Conference. IEEE Press, 318--319.]]
[116]
Sgroi, M., Sheets, M., Mihal, A., Keutzer, K., Malik, S., Rabaey, J., and Sangiovanni-Vencentelli, A. 2001. Addressing the system-on-a-chip interconnect woes through communication-based design. In Proceedings of the 38th Conference on Design Automation. ACM Press, 667--672.]]
[117]
Shin, D., Kim, J., and Lee, S. 2001. Low-energy intra-task voltage scheduling using static timing analysis. In Proceedings of the 38th Conference on Design Automation. ACM Press, 438--443.]]
[118]
Stan, M. and Burleson, W. 1995. Bus-invert coding for low-power i/o. IEEE Trans. VLSI, 49--58.]]
[119]
Stanley-Marbell, P., Hsiao, M., and Kremer, U. 2002. A Hardware Architecture for Dynamic Performance and Energy Adaptation. In Proceedings of the Workshop on Power-Aware Computer Systems. 33--52.]]
[120]
Strollo, A., Napoli, E., and Caro, D. D. 2000. New clock-gating techniques for low-power flip-flops. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 114--119.]]
[121]
Sultania, A., Sylvester, D., and Sapatnekar, S. 2004. Transistor and pin reordering for gate oxide leakage reduction in dual Tox circuits. In IEEE International Conference on Computer Design. 228--233.]]
[122]
Sylvester, D. and Keutzer, K. 1998. Getting to the bottom of deep submicron. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. ACM Press, 203--211.]]
[123]
Tan, T., Raghunathan, A., and Jha, N. 2003. Software architectural transformations: A new approach to low energy embedded software. In Design, Automation and Test in Europe. 1046--1051.]]
[124]
Taylor, C. N., Dey, S., and Zhao, Y. 2001. Modeling and minimization of interconnect energy dissipation in nanometer technologies. In Proceedings of the 38th Conference on Design Automation. ACM Press, 754--757.]]
[125]
Transmeta Corporation. 2001. LongRun Power Management: Dynamic Power Management for Crusoe Processors. Transmeta Corporation.]]
[126]
Transmeta Corporation. 2003. Crusoe Processor Product Brief: Model TM5800. Transmeta Corporation.]]
[127]
Turing, A. 1937. Computability and lambda-definability. J. Symbolic Logic 2, 4, 153--163.]]
[128]
Unnikrishnan, P., Chen, G., Kandemir, M., and Mudgett, D. R. 2002. Dynamic compilation for energy adaptation. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. ACM Press, 158--163.]]
[129]
Venkatachalam, V., Wang, L., Gal, A., Probst, C., and Franz, M. 2003. Proxyvm: A network-based compilation infrastructure for resource-constrained devices. Technical Report 03-13, University of California, Irvine.]]
[130]
Victor, B. and Keutzer, K. 2001. Bus encoding to prevent crosstalk delay. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. IEEE Press, 57--63.]]
[131]
Wang, H., Peh, L.-S., and Malik, S. 2003. Power-driven design of router microarchitectures in on-chip networks. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'36). IEEE Computer Society, 105--116.]]
[132]
Wei, L., Chen, Z., Johnson, M., Roy, K., and De, V. 1998. Design and optimization of low voltage high performance dual threshold CMOS circuits. In Proceedings of the 35th Annual Conference on Design Automation. ACM Press, 489--494.]]
[133]
Weiser, M., Welch, B., Demers, A. J., and Shenker, S. 1994. Scheduling for reduced CPU energy. In Proceedings of the 1st USENIX Symposium on Operating Systems Design and Implementation. 13--23.]]
[134]
Weissel, A. and Bellosa, F. 2002. Process cruise control: event-driven clock scaling for dynamic power management. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems. ACM Press, 238--246.]]
[135]
Won, H.-S., Kim, K.-S., Jeong, K.-O., Park, K.-T., Choi, K.-M., and Kong, J.-T. 2003. An MTCMOS design methodology and its application to mobile computing. In Proceedings of the 2003 International Symposium on Low Power Electronics and Design. ACM Press, 110--115.]]
[136]
Yuan, W. and Nahrstedt, K. 2003. Energy-efficient soft real-time cpu scheduling for mobile multimedia systems. In Proceedings of the 19th ACM Symposium on Operating Systems Principles (SOSP'03). 149--163.]]
[137]
Zeng, H., Ellis, C. S., Lebeck, A. R., and Vahdat, A. 2002. Ecosystem: managing energy as a first class operating system resource. In Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems. ACM Press, 123--132.]]
[138]
Zhang, H. and Rabaey, J. 1998. Low-swing interconnect interface circuits. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM Press, 161--166.]]
[139]
Zhang, H., Wan, M., George, V., and Rabaey, J. 2001. Interconnect architecture exploration for low-energy reconfigurable single-chip dsps. In Proceedings of the International Symposium on Systems Synthesis. ACM Press, 33--38.]]
[140]
Zhang, W., Hu, J. S., Degalahal, V., Kandemir, M., Vijaykrishnan, N., and Irwin, M. J. 2002. Compiler-directed instruction cache leakage optimization. In Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture. IEEE Computer Society Press, 208--218.]]
[141]
Zhang, W., Karakoy, M., Kandemir, M., and Chen, G. 2003. A compiler approach for reducing data cache energy. In Proceedings of the 17th Annual International Conference on Supercomputing. ACM Press, 76--85.]]
[142]
Zhao, P., Darwsih, T., and Bayoumi, M. 2004. High-performance and low-power conditional discharge flip-flop. IEEE Trans. VLSI Syst. 12, 5, 477--484.]]

Cited By

View all
  • (2024)Automatic Software Tailoring for Optimal PerformanceIEEE Transactions on Sustainable Computing10.1109/TSUSC.2023.33306719:3(464-481)Online publication date: May-2024
  • (2024)Age-minimal CPU SchedulingIEEE INFOCOM 2024 - IEEE Conference on Computer Communications10.1109/INFOCOM52122.2024.10621420(401-410)Online publication date: 20-May-2024
  • (2024)Tunable amorphous carbon films formed on ultralow wear, Pt–Au alloysCarbon10.1016/j.carbon.2024.119220226(119220)Online publication date: Jun-2024
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Computing Surveys
ACM Computing Surveys  Volume 37, Issue 3
September 2005
81 pages
ISSN:0360-0300
EISSN:1557-7341
DOI:10.1145/1108956
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 September 2005
Published in CSUR Volume 37, Issue 3

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Energy dissipation
  2. power reduction

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)96
  • Downloads (Last 6 weeks)16
Reflects downloads up to 11 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Automatic Software Tailoring for Optimal PerformanceIEEE Transactions on Sustainable Computing10.1109/TSUSC.2023.33306719:3(464-481)Online publication date: May-2024
  • (2024)Age-minimal CPU SchedulingIEEE INFOCOM 2024 - IEEE Conference on Computer Communications10.1109/INFOCOM52122.2024.10621420(401-410)Online publication date: 20-May-2024
  • (2024)Tunable amorphous carbon films formed on ultralow wear, Pt–Au alloysCarbon10.1016/j.carbon.2024.119220226(119220)Online publication date: Jun-2024
  • (2024)A Survey on Automatic Source Code Transformation for Green Software GenerationEncyclopedia of Sustainable Technologies10.1016/B978-0-323-90386-8.00122-4(765-779)Online publication date: 2024
  • (2023)Impact of Voltage Scaling on Soft Errors Susceptibility of Multicore Server CPUsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614304(957-971)Online publication date: 28-Oct-2023
  • (2023)Comparative Exploration of Gate Count and Leakage Optimized D-Latch in Nanometer CMOS2023 33rd International Conference Radioelektronika (RADIOELEKTRONIKA)10.1109/RADIOELEKTRONIKA57919.2023.10109063(1-6)Online publication date: 19-Apr-2023
  • (2023)Processor power and energy consumption estimation techniques in IoT applications: A reviewInternet of Things10.1016/j.iot.2022.10065521(100655)Online publication date: Apr-2023
  • (2023)An Energy & Cost Efficient Task Consolidation Algorithm for Cloud Computing SystemsAdvancements in Smart Computing and Information Security10.1007/978-3-031-23092-9_35(446-454)Online publication date: 11-Jan-2023
  • (2022)A Systematic Survey on Energy-Efficient Techniques in Sustainable Cloud ComputingSustainability10.3390/su1410625614:10(6256)Online publication date: 20-May-2022
  • (2022)Proactive Run-Time Mitigation for Time-Critical Applications Using Dynamic Scenario Methodology2022 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE54114.2022.9774659(616-621)Online publication date: 14-Mar-2022
  • Show More Cited By

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media