[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.5555/822080.822800guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article

Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks

Published: 08 February 2003 Publication History

Abstract

Originally developed to connect processors and memories in multicomputers, prior research and design of interconnection networks have focused largely on performance. As these networks get deployed in a wide range of new applications, where power is becoming a key design constraint, we need to seriously consider power efficiency in designing interconnectionnetworks. As the demand for network bandwidth increases, communication links, already a significant consumer of power now, will take up an ever larger portion of total system power budget. In this paper, we motivate the use of dynamic voltage scaling (DVS) for links, where the frequency and voltage of links are dynamically adjusted to minimize power consumption. We propose a history-based DVS policy that judiciously adjusts link frequencies and voltages based on past utilization. Our approach realizes up to 6.3X power savings (4.6X on average). This is accompanied by a moderate impact on performance (15.2% increase in average latency before network saturation and 2.5% reduction in throughput.) To the best of our knowledge, this is the first study that targets dynamic power optimization of interconnection networks.

References

[1]
N. J. Boden, D. Cohen, R. E. Felderman, A. E. Kulawik, C. L. Seitz, J. N. Seizovic, and W.-K. Suo Myrinet - A Gigabitper-second local-area-network. IEEE Micro, 15(1):29-36, Feb. 1995.
[2]
T. Burd and R. Brodersen. Design issues for dynamic voltage scaling. In Proc. International Symposium on Low Power Electronics and Design, pages 9-14, July 2000.
[3]
A. Chandrakasan, W. Bowhill, and F. Fox. Design of high-performance microprocessor circuits. IEEE Press and John Wiley & Sons, Inc., Sept. 2001.
[4]
W. J. Dally. Virtual channel flow control. IEEE Transactions on Parallel and Distributed Systems, 3(2): 194-205, Mar. 1992.
[5]
W. J. Dally, P. Carvey, and L. Dennison. The Avici Terabit switch/router. In Proc. Hot Interconnects 6, Aug. 1998.
[6]
W. J. Dally and B. Towles. Route packets, not wires: On-chip interconnection networks. In Proc. Design Automation Conference, pages 684-689, June 2001.
[7]
S. Dhar, D. Maksimovic, and B. Kranzen. Closed loop adaptive voltage scaling controller for standard-cell ASICs. In Proc. International Symposium on Low Power Electronics and Design, pages 120-125, Aug. 2002.
[8]
IBM blue logic high-speed SERDES family of cores. http: //www.ibm.com.
[9]
The InfiniBand Trade Alliance architecture. http://www. infinibandta.org.
[10]
Intel XScale microarchitecture. http://developer. intel.com/design/intelxscale/.
[11]
N. K. Jha. Low power system scheduling and synthesis. In Proc. International Conference on Computer-Aided Design, pages 259-263, Nov. 2001.
[12]
J. Kim and M. Horowitz. Adaptive supply serial links with sub-IV operation and per-pin clock recovery. In Proc. International Solid-State Circuits Conference, Feb. 2002.
[13]
J. J. Kim, S.-B. Lee, T.-S. Jung, C.-H. Kim, S.-I. Cho, and B. Kim. A low-jitter mixed-mode DLL for high-speed DRAM applications. IEEE Journal of Solid-State Circuits, 35(10):1430-1436, Oct. 2000.
[14]
M.-J. E. Lee, W. J. Dally, and P. Chiang. Low-power area-efficient high-speed I/O circuit techniques. IEEE Journal of Solid-State Circuits, 35(11):1591-1599, Nov. 2000.
[15]
W. Leland, M. Taqqu, W. Willinger, and D. Wilson. On the self-similar nature of ethernet traffic (extended version) IEEE/ACM Transactions on Networking, 2(1): 1-15, Feb. 1994.
[16]
Mellanox Technologies performance, price, power, volume metric (PPPV). http://www.mellanox.com/ products/shared/pppv.pdf.
[17]
S. S. Mukherjee, P. Bannon, S. Lang, A. Spink, and D. Webb. The Alpha 21364 network architecture. IEEE Micro, 22(1):26- 35, Jan./Feb. 2002.
[18]
C. Patel, S. Chai, S. Yalamanchili, and D. Schimmel. Power-constrained design of multiprocessor interconnection networks. In Proc. International Conference on Computer Design, pages 408-416, Oct. 1997.
[19]
V. Paxson and S. Floyd. Wide-area traffic: The failure of Poisson modeling. IEEE/ACM Transactions on Networking, 3(3):226-244, June 1995.
[20]
L.-S. Peh and W. J. Dally. Flit-reservation flow control. In Proc. International Symposium on High Performance Computer Architecture, pages 73-84, Jan. 2000.
[21]
D. A. Reed and D. C. Grunwald. The performance of multicomputer interconnection networks. IEEE Computer, 20(6):63-73, June 1987.
[22]
S. Sidiropoulos, D. Liu, J. Kim, G. Wei, and M. Horowitz. Adaptive bandwidth DLLs and PLLs using regulated supply CMOS buffers. In Proc. IEEE Symposium on VLSI Circuits, pages 124-127, June 2000.
[23]
A. Stratakos. High-efficiency low-voltage DC-DC conversion-for portable applications. Ph.D. Thesis, Univ. of California, Berkeley, June 1998.
[24]
M. B. Taylor et al.. The RAW microprocessor: A computational fabric for software circuits and general-purpose programs. IEEE-MICRO, 22(2):25-35, Mar./Apr. 2002.
[25]
Transmeta Crusoe microarchitecture. http://www. transmeta.com.
[26]
TSMC 0.25 µm process 2.5-Volt SAGE¿ standard cell library. http://www.mosis.com.
[27]
G. Varatkar and R. Marculescu. Traffic analysis for on-chip network design of multimedia applications. In Proc. Design Automation Conference, pages 795-800, June 2002.
[28]
H.-S. Wang, X.-P. Zhu, L.-S. Peh, and S. Malik. Orion: A power-performance simulator for interconnection networks. In Proc. International Symposium on Microarchitecture, Nov. 2002.
[29]
G. Wei, J. Kim, D. Liu, S. Sidiropoulos, and M. Horowitz. A variable-frequency parallel I/O interface with adaptive power-supply regulation. Journal of Solid-State Circuits, 35(11):1600-1610, Nov. 2000.
[30]
W. Willinger, M. S. Taqqu, R. Sherman, and D. V. Wilson. Self-similarity through high-variability: Statistical analysis of ethernet LAN traffic at the source level. In Proc. ACM SIGCOMM, pages 100-113, Sept. 1997.

Cited By

View all

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image Guide Proceedings
HPCA '03: Proceedings of the 9th International Symposium on High-Performance Computer Architecture
February 2003
ISBN:0769518710

Publisher

IEEE Computer Society

United States

Publication History

Published: 08 February 2003

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 01 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2019)BARANACM Transactions on Parallel Computing10.1145/32940495:3(1-29)Online publication date: 22-Jan-2019
  • (2018)Energy efficient scheduling in IoT networksProceedings of the 33rd Annual ACM Symposium on Applied Computing10.1145/3167132.3167213(733-740)Online publication date: 9-Apr-2018
  • (2018)TCEPProceedings of the 45th Annual International Symposium on Computer Architecture10.1109/ISCA.2018.00065(712-725)Online publication date: 2-Jun-2018
  • (2018)Energy modeling in cloud simulation frameworksFuture Generation Computer Systems10.1016/j.future.2017.06.01679:P2(715-725)Online publication date: 1-Feb-2018
  • (2017)A Survey of Power and Energy Predictive Models in HPC Systems and ApplicationsACM Computing Surveys10.1145/307881150:3(1-38)Online publication date: 29-Jun-2017
  • (2017)Power-performance assessment of different DVFS control policies in NoCsJournal of Parallel and Distributed Computing10.1016/j.jpdc.2017.06.004109:C(193-207)Online publication date: 1-Nov-2017
  • (2016)Reconfigurable Links for Self-Timed On-Chip CommunicationProceedings of the 9th International Workshop on Network on Chip Architectures10.1145/2994133.2994141(15-20)Online publication date: 15-Oct-2016
  • (2016)A Low-Power Network-on-Chip Architecture for Tile-based Chip Multi-ProcessorsProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2903010(335-340)Online publication date: 18-May-2016
  • (2016)Energy-efficient contention-aware application mapping and scheduling on NoC-based MPSoCsJournal of Parallel and Distributed Computing10.1016/j.jpdc.2016.04.00696:C(1-11)Online publication date: 1-Oct-2016
  • (2015)Malleable NoCProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2757101(1245-1248)Online publication date: 9-Mar-2015
  • Show More Cited By

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media