Harnessing FPGA Technology for Energy-Efficient Wearable Medical Devices
Abstract
:1. Introduction
- Integrating multiple or multimodal health sensors for comprehensive physiological analysis while performing edge computing over cloud computing to address privacy and security concerns regarding personal medical data necessitates increased parallelism and computational power for wearable devices.
- Incorporation of AI techniques for real-time decision and feedback demands more computational power for accuracy of results, while the continuous evolution of AI techniques and frequent customization of WMD features for individual patients demands flexibility.
- Lack flexibility or reconfigurability;
- Higher development cost and longer time to market.
- Identifying power optimization strategies used in FPGA-based WMDs for physiological monitoring;
- Categorizing these strategies to provide a holistic view of system design for energy efficiency, along with their benefits and constraints;
- Highlighting the shortcomings while proposing future research directions and guidelines.
2. Background
2.1. Continuous Health Monitoring
2.2. Wearable Medical Devices
2.3. FPGAs in Wearable Medical Devices
2.3.1. FPGA Architecture
2.3.2. FPGA Technologies
2.3.3. Low-Power FPGA Families
3. Related Work and Motivation
4. Review Methodology
- FPGA AND wearable AND (health OR healthcare OR medical OR Biomedical OR monitoring OR management OR diagnosis OR treatment OR rehabilitation)
5. Power Optimization Strategies
5.1. Designing an Energy-Conscious Algorithm
5.1.1. Simplification of Arithmetic Operations
5.1.2. Approximation of Arithmetic Operations
5.1.3. Sparsity-Aware Arithmetic
5.1.4. Parameter Quantization
5.1.5. Feature Reduction
5.1.6. Fused-Logic Model Implementation
5.1.7. Signal Format Conversion
5.2. Minimizing Transmission Energy Costs
5.2.1. Data Compression
5.2.2. Compressed Sensing
5.2.3. Compressed Learning
5.2.4. Power-Aware Transmission
5.3. Energy-Efficient Task Scheduling
5.3.1. Event-Driven Approach
5.3.2. Duty Cycle Optimization
5.3.3. TDM of Hardware Components
5.4. Exploiting FPGA Features
5.4.1. Dynamic Partial Reconfiguration
5.4.2. Custom Clock Gating
5.4.3. Fine-Grained Power Modes
6. Analyses and Discussion
- Flash-based and hybrid FPGAs, which are designed for low-power applications, should be further explored for power-sensitive WMDs.
- Dynamic partial reconfiguration should also be further exploited in scenarios where the algorithm can be decomposed into sequential functional blocks. In such cases, the output of the first block determines the activation of the subsequent block, a characteristic inherently present in physiological monitoring. By leveraging DPR, the implementation of a subsequent block can be delayed until it is needed to avoid static leakage.
- The capability of power gating was also found to be underutilized in the reviewed literature related to WMDs for physiological monitoring. This can also be explored in conjunction with fine-grained power modes to significantly reduce static power consumption. This approach works by cutting power to inactive logic blocks, thereby minimizing leakage currents. This approach can introduce latency but does not directly impact the accuracy of the results.
- Exploring external voltage scaling for physiological monitoring is also essential. Dynamic power consumption () is directly proportional to the square of the operating voltage (V) [125], as described by the equation , where C is the switching capacitance, f is the clock frequency, and is a constant representing the switching factor [126]. This squared relationship between and V can be exploited to significantly lower power usage, provided that the reliability of operation remains intact, as lowering the voltage increases the switching time and reduces the maximum operating frequency.
- Moreover, both the voltage and frequency can be dynamically adjusted based on performance requirements through dynamic voltage and frequency scaling (DVFS). This technique can also be explored in the context of physiological monitoring by using WMDs for power optimization while maintaining the necessary performance [126].
- Despite its benefits, compressed learning was found to be underutilized in the reviewed articles, requiring further investigation by exploring the sparse nature of physiological signals.
7. Conclusions
Author Contributions
Funding
Data Availability Statement
Conflicts of Interest
Abbreviations
WMDs | Wearable medical devices |
CHM | Continuous health monitoring |
LPTs | Low-power techniques |
AI | Artificial intelligence |
GPP | General purpose processor |
GPU | Graphic processing unit |
DSP | Digital signal processor |
MCU | Microcontroller |
FPGAs | Field-programmable gate arrays |
ASIC | Application-specific integrated circuits |
SRAM | Static random-access memory |
IP | Intellectual property |
HW | Hardware |
SW | Software |
SoC | System-on-chip |
IoT | Internet of Things |
IoWT | Internet of Wearable Things |
ML | Machine learning |
DL | Deep learning |
CNN | Convolutional neural network |
WSN | Wireless sensor network |
HCR | Human context recognition |
bCNN | Binary convolutional neural network |
PVC | Premature ventricular contraction |
SNN | Spiking neural network |
DCNN | Deep convolutional neural network |
TNN | Ternary neural network |
qMLP | Quantized multi-layer perceptron |
DPR | Dynamic partial reconfiguration |
CL | Compressed learning |
CS | Compressed sensing |
TDM | Time-division multiplexing |
MAC | Multiply–accumulate |
SAC | Shift–accumulate |
INT | Integer |
FP | Floating point |
References
- Caizzone, A.; Boukhayma, A.; Enz, C. A 2.6 μW Monolithic CMOS Photoplethysmographic (PPG) Sensor Operating with 2 μW LED Power for Continuous Health Monitoring. IEEE Trans. Biomed. Circuits Syst. 2019, 13, 1243–1253. [Google Scholar] [CrossRef]
- Hernandez, N.; Castro, L.; Medina-Quero, J.; Favela, J.; Michán, L.; Mortenson, W.B. Scoping review of healthcare literature on mobile, wearable, and textile sensing technology for continuous monitoring. J. Healthc. Informatics Res. 2021, 5, 270–299. [Google Scholar] [CrossRef]
- Nia, A.M.; Mozaffari-Kermani, M.; Sur-Kolay, S.; Raghunathan, A.; Jha, N.K. Energy-Efficient Long-term Continuous Personal Health Monitoring. IEEE Trans. Multi-Scale Comput. Syst. 2015, 1, 85–98. [Google Scholar] [CrossRef]
- Liang, Y.; Zhao, C.Z.; Yuan, H.; Chen, Y.; Zhang, W.; Huang, J.Q.; Yu, D.; Liu, Y.; Titirici, M.M.; Chueh, Y.L.; et al. A review of rechargeable batteries for portable electronic devices. InfoMat 2019, 1, 6–32. [Google Scholar] [CrossRef]
- EU. Next Generation Power Sources for Self-sustainable Devices—Integrated Multi-source Energy Harvesters. 2023. Available online: https:///cordis.europa.eu/project/id/705437 (accessed on 4 March 2024).
- Singh, P.; Pandey, B.; Bhandari, N.; Bisht, S.; Bisht, N.; Budhani, S.K. Design of Energy Efficient IoMT Electrocardiogram (ECG) Machine on 28 nm FPGA. In Towards the Integration of IoT, Cloud and Big Data: Services, Applications and Standards; Rishiwal, V., Kumar, P., Tomar, A., Malarvizhi Kumar, P., Eds.; Springer Nature: Singapore, 2023; pp. 43–55. [Google Scholar] [CrossRef]
- Shumba, A.T.; Montanaro, T.; Sergi, I.; Bramanti, A.; Ciccarelli, M.; Rispoli, A.; Carrizzo, A.; De Vittorio, M.; Patrono, L. Wearable Technologies and AI at the Far Edge for Chronic Heart Failure Prevention and Management: A Systematic Review and Prospects. Sensors 2023, 23, 6896. [Google Scholar] [CrossRef]
- Su, X.; An, L.; Cheng, Z.; Weng, Y. Cloud–edge collaboration-based bi-level optimal scheduling for intelligent healthcare systems. Future Gener. Comput. Syst. 2023, 141, 28–39. [Google Scholar] [CrossRef]
- Covi, E.; Donati, E.; Liang, X.; Kappel, D.; Heidari, H.; Payvand, M.; Wang, W. Adaptive extreme edge computing for wearable devices. Front. Neurosci. 2021, 15, 611300. [Google Scholar] [CrossRef]
- Fernández-Caramés, T.M.; Fraga-Lamas, P. Towards The Internet of Smart Clothing: A Review on IoT Wearables and Garments for Creating Intelligent Connected E-Textiles. Electronics 2018, 7, 405. [Google Scholar] [CrossRef]
- Maheepala, M.; Joordens, M.A.; Kouzani, A.Z. Low Power Processors and Image Sensors for Vision-Based IoT Devices: A Review. IEEE Sensors J. 2021, 21, 1172–1186. [Google Scholar] [CrossRef]
- Actel. The Many Flavors of Low-Power, Low-Cost FPGAs. Available online: https://ww1.microchip.com/downloads/aemDocuments/documents/FPGA/ProductDocuments/SupportingCollateral/low_power_wp.pdf (accessed on 25 September 2024).
- Chéour, R.; Khriji, S.; Houssaini, D.E.; Baklouti, M.; Abid, M.; Kanoun, O. Recent Trends of FPGA Used for Low-Power Wireless Sensor Network. IEEE Aerosp. Electron. Syst. Mag. 2019, 34, 28–38. [Google Scholar] [CrossRef]
- Dattani, S.; Spooner, F.; Ritchie, H.; Roser, M. Causes of Death. Our World in Data. 2023. Available online: https:///ourworldindata.org/causes-of-death (accessed on 10 August 2024).
- WHO. Noncommunicable Diseases. 2023. Available online: https://www.who.int/news-room/fact-sheets/detail/noncommunicable-diseases (accessed on 10 August 2024).
- Lal, B.; Gravina, R.; Spagnolo, F.; Corsonello, P. Compressed Sensing Approach for Physiological Signals: A Review. IEEE Sensors J. 2023, 23, 5513–5534. [Google Scholar] [CrossRef]
- Chen, C.; Shu, M.; Zhou, S.; Liu, Z.; Liu, R. Wavelet-domain group-sparse denoising method for ECG signals. Biomed. Signal Process. Control 2023, 83, 104702. [Google Scholar] [CrossRef]
- Liu, B.; Zhang, Z.; Xu, G.; Fan, H.; Fu, Q. Energy efficient telemonitoring of physiological signals via compressed sensing: A fast algorithm and power consumption evaluation. Biomed. Signal Process. Control 2014, 11, 80–88. [Google Scholar] [CrossRef]
- Philipp, F.; Glesner, M. A reconfigurable wireless platform for biomedical signal processing. In Proceedings of the 6th 2013 Biomedical Engineering International Conference, Amphur Muang, Thailand, 23–25 October 2013; pp. 1–5. [Google Scholar] [CrossRef]
- Wu, D.; Zhao, S.; Yang, J.; Sawan, M. Software-Hardware Co-Design for Energy-Efficient Continuous Health Monitoring via Task-Aware Compression. IEEE Trans. Biomed. Circuits Syst. 2023, 17, 180–191. [Google Scholar] [CrossRef]
- Zeidman, B. All About FPGAs. Available online: https:///www.eetimes.com/all-about-fpgas/ (accessed on 25 September 2024).
- Goldsmith, T. FPGA Basics: LUTs, CLBs, Slices, and Logic Cells. Available online: https://thebitbuilder.com/fpga-basics-luts-clbs-slices-and-logic-cells/ (accessed on 25 September 2024).
- AMD. Xilinx 7-Series FPGAs Data Sheet. Available online: https:///docs.amd.com/v/u/en-US/ds180_7Series_Overview (accessed on 25 September 2024).
- AMD. Spartan-7 FPGAs’ DC and AC Switching Characteristics. Available online: https://docs.amd.com/r/en-US/ds189-spartan-7-data-sheet/DC-Characteristics (accessed on 25 September 2024).
- AMD. Artix-7 FPGAs’ DC and AC Switching Characteristics. Available online: https:///docs.amd.com/v/u/en-US/ds181_Artix_7_Data_Sheet (accessed on 25 September 2024).
- QuickLogic. PolarPro-3 Device Data Sheet. Available online: https://www.quicklogic.com/wp-content/uploads/2022/01/QL_PolarPro_3_Device_Data_Sheet_Oct-13_2021.pdf (accessed on 25 September 2024).
- QuickLogic. PolarPro-3 Tiny, Low Cost SRAM Reprogrammable FPGAs with Open Source Tools. Available online: https:///www.quicklogic.com/products/fpga/fpgas-sram/ (accessed on 25 September 2024).
- Intel. Cyclone-V Device Overview. Available online: https://www.intel.com/content/www/us/en/docs/programmable/683694/current/maximum-resources-04257.html (accessed on 25 September 2024).
- Intel. MAX-10 FPGA Device Overview. Available online: https://www.intel.com/content/www/us/en/docs/programmable/683658/current/fpga-device-overview.html (accessed on 25 September 2024).
- Intel. MAX-10 Power Management User Guide. Available online: https://www.intel.com/content/www/us/en/docs/programmable/683400/18-0/power-supply-design.html (accessed on 25 September 2024).
- Lattice-Semiconductor. iCE40 UltraPlus Low Power FPGA. Available online: https://www.latticesemi.com/en/Products/FPGAandCPLD/iCE40UltraPlus (accessed on 25 September 2024).
- Lattice-Semiconductor. iCE40 LP/HX Low-Power, High-Performance FPGA. Available online: https:///www.latticesemi.com/Products/FPGAandCPLD/iCE40 (accessed on 25 September 2024).
- Lattice-Semiconductor. MachXO2 - Bridging and I/O expansion versatility. Available online: https:///www.latticesemi.com/Products/FPGAandCPLD/MachXO2 (accessed on 25 September 2024).
- Microchip-Technology. IGLOO-2 FPGA Product Brief. Available online: https://www.microchip.com/content/dam/mchp/documents/FPGA/ProductDocuments/ProductBrief/PB0121%20IGLOO2%20FPGA%20Product%20Brief.pdf (accessed on 25 September 2024).
- Microchip-Technology. IGLOO-2 FPGA. Available online: https://www.microchip.com/en-us/products/fpgas-and-plds/fpgas/igloo-2-fpgas (accessed on 25 September 2024).
- Microchip-Technology. IGLOO-2 FPGA and SmartFusion2 SoC FPGA. Available online: https://www.digikey.be/en/htmldatasheets/production/2520949/0/0/1/m2gl010-fgg484i#pf17 (accessed on 25 September 2024).
- Microchip-Technology. IGLOO Nano Low Power Flash FPGAswith Flash-Freeze Technology. Available online: https://ww1.microchip.com/downloads/aemDocuments/documents/FPGA/ProductDocuments/DataSheets/microsemi_ds0110_igloo_nano_low_power_flash_fpgas_ds.pdf (accessed on 25 September 2024).
- Microchip-Technology. IGLOO Nano FPGAs. Available online: https://www.microchip.com/en-us/products/fpgas-and-plds/fpgas/igloo-fpgas#IGLOO%20nano%20FPGAs (accessed on 25 September 2024).
- GOWIN. GW1NZ Series of FPGA Products. Available online: https:///cdn.gowinsemi.com.cn/DS841E.pdf (accessed on 25 September 2024).
- Intel. PowerPlay Early Power Estimator (EPE) Tool. Available online: https://www.intel.com/content/www/us/en/support/programmable/support-resources/power/max-10-estimator-download.html (accessed on 25 September 2024).
- Tesema, W.; Jimma, W.; Khan, M.I.; Stiens, J.; da Silva, B. A Taxonomy of Low-Power Techniques in Wearable Medical Devices for Healthcare Applications. Electronics 2024, 13, 3097. [Google Scholar] [CrossRef]
- Ray, P.P.; Dash, D. A Review on Internet of Wearable Things for Pervasive E-Health Care: Energy Efficiency and Prospects. In Proceedings of the International Conference on Cognitive and Intelligent Computing (ICCIC-2021), Hyderabad, India, 11–12 December 2021; Kumar, A., Ghinea, G., Merugu, S., Hashimoto, T., Eds.; Springer: Singapore, 2023; pp. 69–82. [Google Scholar] [CrossRef]
- Tesema, W.; Da Silva, B.; Jimma, W.; Stiens, J. Power Saving Techniques for Wearable Devices in Medical Applications. In Proceedings of the IECON 2022—48th Annual Conference of the IEEE Industrial Electronics Society, Brussels, Belgium, 17–20 October 2022; pp. 1–8. [Google Scholar] [CrossRef]
- Hartmann, M.; Hashmi, U.S.; Imran, A. Edge computing in smart health care systems: Review, challenges, and research directions. Trans. Emerg. Telecommun. Technol. 2022, 33, e3710. [Google Scholar] [CrossRef]
- Qaim, W.B.; Ometov, A.; Molinaro, A.; Lener, I.; Campolo, C.; Lohan, E.S.; Nurmi, J. Towards Energy Efficiency in the Internet of Wearable Things: A Systematic Review. IEEE Access 2020, 8, 175412–175435. [Google Scholar] [CrossRef]
- Cong, P.; Zhou, J.; Li, L.; Cao, K.; Wei, T.; Li, K. A survey of hierarchical energy optimization for mobile edge computing: A perspective from end devices to the cloud. ACM Comput. Surv. 2020, 53, 38. [Google Scholar] [CrossRef]
- Seneviratne, S.; Hu, Y.; Nguyen, T.; Lan, G.; Khalifa, S.; Thilakarathna, K.; Hassan, M.; Seneviratne, A. A Survey of Wearable Devices and Challenges. IEEE Commun. Surv. Tutorials 2017, 19, 2573–2620. [Google Scholar] [CrossRef]
- Wang, C.; Lu, W.; Narayanan, M.R.; Redmond, S.J.; Lovell, N.H. Low-power technologies for wearable telecare and telehealth systems: A review. Biomed. Eng. Lett. 2015, 5, 1–9. [Google Scholar] [CrossRef]
- Rault, T.; Bouabdallah, A.; Challal, Y.; Marin, F. A survey of energy-efficient context recognition systems using wearable sensors for healthcare applications. Pervasive Mob. Comput. 2017, 37, 23–44. [Google Scholar] [CrossRef]
- Altman, M.B.; Wan, W.; Hosseini, A.S.; Arabi Nowdeh, S.; Alizadeh, M. Machine learning algorithms for FPGA Implementation in biomedical engineering applications: A review. Heliyon 2024, 10, e26652. [Google Scholar] [CrossRef]
- Vaithianathan, M.; Patil, M.; Ng, S.F.; Udkar, S. Energy-Efficient FPGA Design for Wearable and Implantable Devices. ESP Int. J. Adv. Sci. Technol. 2024, 2, 37–51. [Google Scholar]
- Jegan, R.; Nimi, W.S. On the development of low power wearable devices for assessment of physiological vital parameters: A systematic review. J. Public Health 2024, 32, 1093–1108. [Google Scholar] [CrossRef]
- Umapathy, K.; Muthukumaran, D.; Chandramohan, S.; Sivakumar, M.; James, O. Low Power Methodologies for FPGA—An Overview. In Low Power Architectures for IoT Applications; Sharma, D.K., Sharma, R., Jeon, G., Polkowski, Z., Eds.; Springer Nature: Singapore, 2023; pp. 85–97. [Google Scholar] [CrossRef]
- Ibro, M.; Marinova, G. Review on Low-Power Consumption Techniques for FPGA-based designs in IoT technology. In Proceedings of the 2021 16th International Conference on Telecommunications (ConTEL), Zagreb, Croatia, 30 June–2 July 2021; pp. 110–114. [Google Scholar] [CrossRef]
- Jiang, C.; Fan, T.; Gao, H.; Shi, W.; Liu, L.; Cérin, C.; Wan, J. Energy aware edge computing: A survey. Comput. Commun. 2020, 151, 556–580. [Google Scholar] [CrossRef]
- Mittal, S. A survey of FPGA-based accelerators for convolutional neural networks. Neural Comput. Appl. 2020, 32, 1109–1139. [Google Scholar] [CrossRef]
- Blaiech, A.G.; Ben Khalifa, K.; Valderrama, C.; Fernandes, M.A.; Bedoui, M.H. A Survey and Taxonomy of FPGA-based Deep Learning Accelerators. J. Syst. Archit. 2019, 98, 331–345. [Google Scholar] [CrossRef]
- Abdullah, W.A.N.W.; Yaakob, N.; Elobaid, M.E.; Warip, M.N.M.; Yah, S.A. Energy-efficient remote healthcare monitoring using IoT: A review of trends and challenges. In Proceedings of the ICC ’16: International Conference on Internet of Things and Cloud Computing, Cambridge, UK, 22–23 March 2016; pp. 1–8. [Google Scholar] [CrossRef]
- Su, M.; Hua, J.; Sun, X.; Liu, Z.; Shi, Y.; Pan, L. Wireless Wearable Devices and Recent Applications in Health Monitoring and Clinical Diagnosis. Biomed. Mater. Devices 2024, 2, 669–694. [Google Scholar] [CrossRef]
- Sun, X.; Zhao, C.; Li, H.; Yu, H.; Zhang, J.; Qiu, H.; Liang, J.; Wu, J.; Su, M.; Shi, Y.; et al. Wearable Near-Field Communication Sensors for Healthcare: Materials, Fabrication and Application. Micromachines 2022, 13, 784. [Google Scholar] [CrossRef]
- Kim, H.; Rigo, B.; Wong, G.; Lee, Y.J.; Yeo, W.H. Advances in wireless, batteryless, implantable electronics for real-time, continuous physiological monitoring. Nano-Micro Lett. 2024, 16, 52. [Google Scholar] [CrossRef]
- Ran, S.C.; Wang, Q.A.; Wang, J.F.; Ni, Y.Q.; Guo, Z.X.; Luo, Y. A Concise State-of-the-Art Review of Crack Monitoring Enabled by RFID Technology. Appl. Sci. 2024, 14, 3213. [Google Scholar] [CrossRef]
- Liu, G.; Wang, Q.A.; Jiao, G.; Dang, P.; Nie, G.; Liu, Z.; Sun, J. Review of wireless RFID strain sensing technology in structural health monitoring. Sensors 2023, 23, 6925. [Google Scholar] [CrossRef]
- Wang, Q.A.; Zhang, C.; Ma, Z.G.; Jiao, G.Y.; Jiang, X.W.; Ni, Y.Q.; Wang, Y.C.; Du, Y.T.; Qu, G.B.; Huang, J. Towards long-transmission-distance and semi-active wireless strain sensing enabled by dual-interrogation-mode RFID technology. Struct. Control Health Monit. 2022, 29, e3069. [Google Scholar] [CrossRef]
- Ben Dhaou, I.; Ebrahimi, M.; Ben Ammar, M.; Bouattour, G.; Kanoun, O. Edge devices for internet of medical things: Technologies, techniques, and implementation. Electronics 2021, 10, 2104. [Google Scholar] [CrossRef]
- Silvestri, F.; Acciarito, S.; Cardarilli, G.C.; Khanal, G.M.; Di Nunzio, L.; Fazzolari, R.; Re, M. FPGA Implementation of a Low-Power QRS Extractor. In Proceedings of the Applications in Electronics Pervading Industry, Environment and Society (ApplePies 2017), Rome, Italy, 20–21 September 2017; De Gloria, A., Ed.; Lecture Notes in Electrical Engineering. Springer: Cham, Switzerland, 2019; Volume 512, pp. 9–15. [Google Scholar] [CrossRef]
- Wong, D.L.T.; Li, Y.; John, D.; Ho, W.K.; Heng, C.H. Low Complexity Binarized 2D-CNN Classifier for Wearable Edge AI Devices. IEEE Trans. Biomed. Circuits Syst. 2022, 16, 822–831. [Google Scholar] [CrossRef]
- Chu, H.; Yan, Y.; Gan, L.; Jia, H.; Qian, L.; Huan, Y.; Zheng, L.; Zou, Z. A Neuromorphic Processing System with Spike-Driven SNN Processor for Wearable ECG Classification. IEEE Trans. Biomed. Circuits Syst. 2022, 16, 511–523. [Google Scholar] [CrossRef]
- Hosseini, M.; Paneliya, H.; Kallakuri, U.; Khatwani, M.; Mohsenin, T. Minimizing Classification Energy of Binarized Neural Network Inference for Wearable Devices. In Proceedings of the 20th International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA, 6–7 March 2019; pp. 259–264. [Google Scholar] [CrossRef]
- Kang, S.; Kim, H.; Park, C.; Sim, Y.; Lee, S.; Jung, Y. sEMG-Based Hand Gesture Recognition Using Binarized Neural Network. Sensors 2023, 23, 1436. [Google Scholar] [CrossRef]
- Hassan, O.; Paul, T.; Amin, N.; Titirsha, T.; Thakker, R.; Parvin, D.; Mosa, A.S.M.; Islam, S.K. An Optimized Hardware Inference of SABiNN: Shift-Accumulate Binarized Neural Network for Sleep Apnea Detection. IEEE Trans. Instrum. Meas. 2023, 72, 2516311. [Google Scholar] [CrossRef]
- Makhlooghpour, A.; Ahmadi, A. A Dual Stage Resource Efficient ECG Classifier. In Proceedings of the 2023 IEEE Biomedical Circuits and Systems Conference (BioCAS), Toronto, ON, Canada, 19–21 October 2023; pp. 1–5. [Google Scholar] [CrossRef]
- Ercan, R.; Xia, Y.; Zhao, Y.; Loureiro, R.; Yang, S.; Zhao, H. An Ultralow-Power Real-Time Machine Learning Based fNIRS Motion Artifacts Detection. IEEE Trans. Very Large Scale Integr. Syst. 2024, 32, 763–773. [Google Scholar] [CrossRef]
- Zaman, K.S.; Reaz, M.M.B.I. Secure and efficient implementation of facial emotion detection for smart patient monitoring system. Quant. Biol. 2023, 11, 175–182. [Google Scholar] [CrossRef]
- Aruna, V.B.K.L.; Ekambaram, C.; Padmaja, M. Field programmable gate array implementation of an adaptive filtering based noise reduction and enhanced compression technique for healthcare applications. Trans. Emerg. Telecommun. Technol. 2023, 34, e4654. [Google Scholar] [CrossRef]
- Fan, J.; Yang, S.; Liu, J.; Zhu, Z.; Xiao, J.; Chang, L.; Lin, S.; Zhou, J. A High Accuracy & Ultra-Low Power ECG-Derived Respiration Estimation Processor for Wearable Respiration Monitoring Sensor. Biosensors 2022, 12, 665. [Google Scholar] [CrossRef]
- Hassan, O.; Paul, T.; Shuvo, M.M.H.; Parvin, D.; Thakker, R.; Chen, M.; Mosa, A.S.M.; Islam, S.K. Energy Efficient Deep Learning Inference Embedded on FPGA for Sleep Apnea Detection. J. Signal Process. Syst. 2022, 94, 609–619. [Google Scholar] [CrossRef]
- Gon, A.; Mukherjee, A. Design of hardware-efficient PVC recognition and classification system for early detection of sudden cardiac arrests. AEU-Int. J. Electron. Commun. 2023, 172, 154955. [Google Scholar] [CrossRef]
- Chinmayi, K.; Padmaja, M. VLSI implementation of ECG feature extraction using Integer Haar Wavelet and EMD Algorithm. In Proceedings of the 2023 First International Conference on Cyber Physical Systems, Power Electronics and Electric Vehicles (ICPEEV), Hyderabad, India, 28–30 September 2023; pp. 1–6. [Google Scholar] [CrossRef]
- Fawzy, M.; Hussien, A.; Mostafa, H. FPGA Utilized Implementation of Epileptic Seizure Detection System Based on Wearable Devices using Dynamic Partial Reconfiguration. In Proceedings of the 2022 10th International Japan-Africa Conference on Electronics, Communications, and Computations (JAC-ECC), Alexandria, Egypt, 19–20 December 2022; pp. 119–124. [Google Scholar] [CrossRef]
- Taufique, Z.; Kanduri, A.; Bin Altaf, M.A.; Liljeberg, P. Approximate Feature Extraction for Low Power Epileptic Seizure Prediction in Wearable Devices. In Proceedings of the 2021 IEEE Nordic Circuits and Systems Conference (NorCAS), Oslo, Norway, 26–27 October 2021; pp. 1–7. [Google Scholar] [CrossRef]
- Gonzalez, H.A.; Muzaffar, S.; Yoo, J.; Elfadel, I.A.M. An Inference Hardware Accelerator for EEG-Based Emotion Detection. In Proceedings of the 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Seville, Spain, 12–14 October 2020; pp. 1–5. [Google Scholar] [CrossRef]
- Ran, S.; Yang, X.; Liu, M.; Zhang, Y.; Cheng, C.; Zhu, H.; Yuan, Y. Homecare-Oriented ECG Diagnosis With Large-Scale Deep Neural Network for Continuous Monitoring on Embedded Devices. IEEE Trans. Instrum. Meas. 2022, 71, 2503113. [Google Scholar] [CrossRef]
- Hassan, O.; Thakker, R.; Paul, T.; Parvin, D.; Mohammad Mosa, A.S.; Islam, S.K. SABiNN: FPGA Implementation of Shift Accumulate Binary Neural Network Model for Real-Time Automatic Detection of Sleep Apnea. In Proceedings of the 2022 IEEE International Instrumentation and Measurement Technology Conference (I2MTC), Ottawa, ON, Canada, 16–19 May 2022; pp. 1–6. [Google Scholar] [CrossRef]
- Hu, J.; Goh, W.L.; Gao, Y. Classification of ECG Anomaly with Dynamically-biased LSTM for Continuous Cardiac Monitoring. In Proceedings of the 2023 IEEE International Symposium on Circuits and Systems (ISCAS), Monterey, CA, USA, 21–25 May 2023; pp. 1–5. [Google Scholar] [CrossRef]
- Liu, W.; Guo, Q.; Chen, S.; Chang, S.; Wang, H.; He, J.; Huang, Q. A fully-mapped and energy-efficient FPGA accelerator for dual-function AI-based analysis of ECG. Front. Physiol. 2023, 14, 1079503. [Google Scholar] [CrossRef]
- Janveja, M.; Parmar, R.; Trivedi, G.; Jan, P.; Nemec, Z. An Energy Efficient and Resource Optimal VLSI Architecture for ECG Feature Extraction for Wearable Healthcare Applications. In Proceedings of the 2022 32nd International Conference Radioelektronika (RADIOELEKTRONIKA), Kosice, Slovakia, 21–22 April 2022; pp. 1–6. [Google Scholar] [CrossRef]
- Kim, J.K.; Oh, J.H.; Gwon, O.S.; Yang, J.W.; Lee, S.E. Real-time PPG monitoring system for mobile healthcare devices. In Proceedings of the 2017 IEEE International Symposium on Consumer Electronics (ISCE), Kuala Lumpur, Malaysia, 14–15 November 2017; pp. 84–85. [Google Scholar] [CrossRef]
- Giorgio, A.; Guaragnella, C.; Rizzi, M. FPGA-Based Decision Support System for ECG Analysis. J. Low Power Electron. Appl. 2023, 13, 6. [Google Scholar] [CrossRef]
- Tian, J.; Mercier, P.; Paolini, C. Ultra low-power, wearable, accelerated shallow-learning fall detection for elderly at-risk persons. Smart Health 2024, 33, 100498. [Google Scholar] [CrossRef]
- Alam, M.S.; Siddiqui, Y.; Hasan, M.; Farooq, O.; Himeur, Y. Energy-Efficient FPGA Based Sleep Apnea Detection Using EEG Signals. IEEE Access 2024, 12, 40182–40195. [Google Scholar] [CrossRef]
- Elbedwehy, A.N.; El-Mohandes, A.M.; Elnakib, A.; Abou-Elsoud, M.E. FPGA-based reservoir computing system for ECG denoising. Microprocess. Microsyst. 2022, 91, 104549. [Google Scholar] [CrossRef]
- Gu, M.; Zhang, Y.; Wen, Y.; Ai, G.; Zhang, H.; Wang, P.; Wang, G. A lightweight convolutional neural network hardware implementation for wearable heart rate anomaly detection. Comput. Biol. Med. 2023, 155, 106623. [Google Scholar] [CrossRef]
- Razi, K.F.; Schmid, A. Epileptic Seizure Detection with Patient-Specific Feature and Channel Selection for Low-power Applications. IEEE Trans. Biomed. Circuits Syst. 2022, 16, 626–635. [Google Scholar] [CrossRef]
- Abubakar, S.M.; Saadeh, W.; Altaf, M.A.B. A wearable long-term single-lead ECG processor for early detection of cardiac arrhythmia. In Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, 19–23 March 2018; pp. 961–966. [Google Scholar] [CrossRef]
- Attaran, N.; Puranik, A.; Brooks, J.; Mohsenin, T. Embedded Low-Power Processor for Personalized Stress Detection. IEEE Trans. Circuits Syst. II Express Briefs 2018, 65, 2032–2036. [Google Scholar] [CrossRef]
- Harpale, V.; Bairagi, V. An adaptive method for feature selection and extraction for classification of epileptic EEG signal in significant states. J. King Saud Univ.-Comput. Inf. Sci. 2021, 33, 668–676. [Google Scholar] [CrossRef]
- Rawal, V.; Prajapati, P.; Darji, A. Hardware implementation of 1D-CNN architecture for ECG arrhythmia classification. Biomed. Signal Process. Control 2023, 85, 104865. [Google Scholar] [CrossRef]
- Indira, P.B.; Krishna, R.D. Optimized adaptive neuro fuzzy inference system (OANFIS) based EEG signal analysis for seizure recognition on FPGA. Biomed. Signal Process. Control 2021, 66, 102484. [Google Scholar] [CrossRef]
- Liu, J.; Qiu, H.; Wang, X.; Qin, H.; Zhou, Y.; Zhou, J. A High Accuracy & Ultra-Low Power PPG-Derived HR Estimation AI Processor for Wearable Devices. In Proceedings of the 2023 6th International Conference on Electronics Technology (ICET), Chengdu, China, 12–15 May 2023; pp. 1103–1107. [Google Scholar] [CrossRef]
- Abubakar, S.M.; Yin, Y.; Tan, S.; Jiang, H.; Wang, Z.; U, S.P.; Jia, W. A 2.52 μA Wearable Single Lead Ternary Neural Network Based Cardiac Arrhythmia Detection Processor. In Proceedings of the 2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea, 22–28 May 2021; pp. 1–4. [Google Scholar] [CrossRef]
- Khan, M.R.; Saadeh, W.; Altaf, M.A.B. A low complexity patient-specific threshold based accelerator for the Grand-mal seizure disorder. In Proceedings of the 2017 IEEE Biomedical Circuits and Systems Conference (BioCAS), Turin, Italy, 19–21 October 2017; pp. 1–4. [Google Scholar] [CrossRef]
- Chen, W.J.; Lu, C.C.; Jiang, Y.H.; Fang, H.W. FPGA design and verification for lossless ECG coding compression. In Proceedings of the 2017 6th International Symposium on Next Generation Electronics (ISNE), Keelung, Taiwan, 23–25 May 2017; pp. 1–3. [Google Scholar] [CrossRef]
- Kulau, U.; Ahmed, A.N.A. Efficient Online Compression for MEMS based BCG Wearable Sensors on ULP FPGA. In Proceedings of the 2023 IEEE International Symposium on Inertial Sensors and Systems (INERTIAL), Lihue, HI, USA, 28–31 March 2023; pp. 1–4. [Google Scholar] [CrossRef]
- Deepu, C.J.; Heng, C.H.; Lian, Y. A Hybrid Data Compression Scheme for Power Reduction in Wireless Sensors for IoT. IEEE Trans. Biomed. Circuits Syst. 2017, 11, 245–254. [Google Scholar] [CrossRef]
- Donoho, D. Compressed sensing. IEEE Trans. Inf. Theory 2006, 52, 1289–1306. [Google Scholar] [CrossRef]
- Gurve, D.; Delisle-Rodriguez, D.; Bastos-Filho, T.; Krishnan, S. Trends in compressive sensing for EEG signal processing applications. Sensors 2020, 20, 3703. [Google Scholar] [CrossRef]
- Kerdjidj, O.; Amira, A.; Ghanem, K.; Ramzan, N.; Katsigiannis, S.; Chouireb, F. An FPGA implementation of the matching pursuit algorithm for a compressed sensing enabled e-Health monitoring platform. Microprocess. Microsyst. 2019, 67, 131–139. [Google Scholar] [CrossRef]
- Liu, D.; Wang, Q.; Zhang, Y.; Liu, X.; Lu, J.; Sun, J. FPGA-based real-time compressed sensing of multichannel EEG signals for wireless body area networks. Biomed. Signal Process. Control 2019, 49, 221–230. [Google Scholar] [CrossRef]
- Calderbank, R.; Jafarpour, S.; Schapire, R. Compressed learning: Universal sparse dimensionality reduction and learning in the measurement domain. 2009. preprint. Available online: https://api.semanticscholar.org/CorpusID:15610215 (accessed on 16 October 2024).
- Lal, B.; Li, Q.; Corsonello, P.; Gravina, R. Abnormal ECG Detection in Wearable Devices Using Compressed Learning. In Proceedings of the 2023 International Conference on Networking, Sensing and Control (ICNSC), Marseille, France, 25–27 October 2023; pp. 1–6. [Google Scholar] [CrossRef]
- Li, W.; Chu, H.; Huang, B.; Huan, Y.; Zheng, L.; Zou, Z. Enabling on-device classification of ECG with compressed learning for health IoT. Microelectron. J. 2021, 115, 105188. [Google Scholar] [CrossRef]
- Jafari, A.; Page, A.; Sagedy, C.; Smith, E.; Mohsenin, T. A low power seizure detection processor based on direct use of compressively-sensed data and employing a deterministic random matrix. In Proceedings of the 2015 IEEE Biomedical Circuits and Systems Conference (BioCAS), Atlanta, GA, USA, 22–24 October 2015; pp. 1–4. [Google Scholar] [CrossRef]
- Priyadarshini, R.; Shaikh, N.; Godi, R.K.; Dhal, P.; Sharma, R.; Perwej, Y. IOT-based power control systems framework for healthcare applications. Meas. Sensors 2023, 25, 100660. [Google Scholar] [CrossRef]
- Ye, Z.; Lu, X.; Wang, S.; Li, B. An 842 nW Wearable Inter-Patient Cardiac Arrhythmia Monitoring Processor with a Feature Engine-Based Artificial Neural Network. In Proceedings of the 2023 IEEE 15th International Conference on ASIC (ASICON), Nanjing, China, 24–27 October 2023; pp. 1–4. [Google Scholar] [CrossRef]
- Fang, C.; Shen, Z.; Tian, F.; Yang, J.; Sawan, M. A Compact Online-Learning Spiking Neuromorphic Biosignal Processor. In Proceedings of the 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, 27 May–1 June 2022; pp. 2147–2151. [Google Scholar] [CrossRef]
- Razi, K.F.; Schmid, A. Two-stage Hardware-Friendly Epileptic Seizure Detection Method with a Dynamic Feature Selection. In Proceedings of the 2021 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC), Mexico, 1–5 November 2021; pp. 156–159. [Google Scholar] [CrossRef]
- Chandrakasan, A.; Min, R.; Bhardwaj, M.; Cho, S.; Wang, A. Power aware wireless microsensor systems. In Proceedings of the 28th European Solid-State Circuits Conference, Florence, Italy, 24–26 September 2002; pp. 47–54. [Google Scholar] [CrossRef]
- Syed, A.; Khan, K.; Ahmad, A.; Asad, M.S.; Saadeh, W. A 1mW Vitals Monitoring System for Asthmatic Patients based on Photoplethysmography. In Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference (BioCAS), Nara, Japan, 17–19 October 2019; pp. 1–4. [Google Scholar] [CrossRef]
- Babu, P.; Parthasarathy, E. Reconfigurable FPGA architectures: A survey and applications. J. Inst. Eng. Ser. B 2021, 102, 143–156. [Google Scholar] [CrossRef]
- Vipin, K.; Fahmy, S.A. FPGA dynamic and partial reconfiguration: A survey of architectures, methods, and applications. ACM Comput. Surv. 2018, 51, 1–39. [Google Scholar] [CrossRef]
- Bsoul, A.A.M.; Wilton, S.J.E. An FPGA architecture supporting dynamically controlled power gating. In Proceedings of the 2010 International Conference on Field-Programmable Technology, Beijing, China, 8–10 December 2010; pp. 1–8. [Google Scholar] [CrossRef]
- Varnosfaderani, S.M.; Rahman, R.; Sarhan, N.J.; Alhawari, M. A Self-Aware Power Management Model for Epileptic Seizure Systems Based on Patient-Specific Daily Seizure Pattern. In Proceedings of the 2023 International Conference on Microelectronics (ICM), Abu Dhabi, United Arab Emirates, 17–20 December 2023; pp. 91–95. [Google Scholar] [CrossRef]
- Mo, H.; Zhou, C. Hardware Acceleration of PPG Waveform and Heart Rate Detection System. In Proceedings of the 2023 6th International Conference on Electronics Technology (ICET), Chengdu, China, 12–15 May 2023; pp. 974–979. [Google Scholar] [CrossRef]
- Bublitz, L.; Rust, J.; Kulau, U. Let’s go below: Potential of Undervolting on Low-Power FPGAs. In Proceedings of the 11th International Workshop on Energy Harvesting & Energy-Neutral Sensing Systems, Istanbul, Türkiye, 12 November 2023; pp. 51–57. [Google Scholar] [CrossRef]
- Khriji, S.; Chéour, R.; Kanoun, O. Dynamic voltage and frequency scaling and duty-cycling for ultra low-power wireless sensor nodes. Electronics 2022, 11, 4071. [Google Scholar] [CrossRef]
Manufacturer | FPGA Family | Device | Process Node | Technology | Logic Resources | RAM (kbits) | Math Blocks | Core Voltage | Static Current | Features |
---|---|---|---|---|---|---|---|---|---|---|
AMD (Xilinx) | Spartan-7 [23,24] | XC7S6 | 28 nm | SRAM | 6000× LCs | 180 | 10× DSP Slices | 0.95 V/1.0 V | 32 mA 1 | Lower power consumption than previous generation. |
Artix-7 [23,25] | XC7A12T | 28 nm | SRAM | 12,800× LCs | 720 | 40× DSP48E1 | 0.95 V/ 1.0 V | 43 mA 1 | Partial reconfiguration, voltage scaling, power gating, and clock gating. | |
QuickLogic | PolarPro3 [26,27] | – | – | SRAM | 1019× LCs | 73 | - | 1.2 V | 55 µA 2 | Targets low power and low latency. Suitable as small CPU cores in IoT. |
Intel (Altera) | CycloneV [28] | 5CEBA2 | 28 nm | SRAM | 25,000× LEs | 1760 | 25× DSP Blocks | 1.1 V | 32 mA 4,** | Partial reconfiguration; 40% lower power consumption compared with Cyclone-IV. |
MAX10 [29,30] | 10M08DC | 55 nm | Hybrid (SRAM + Flash) | 8000× LEs | 378 | 24× Mul (18 × 18) | 1.2 V & 2.5 V | 3.9 mA 1,** | Sleep mode for standby power reduction. Suitable for edge computing. | |
Lattice Semiconductor | iCE40 UltraPlus [31] | UP5K | 40 nm | Hybrid (SRAM + Flash) | 5280× LUTs | 120 | 8× DSP Blocks | 1.2 V | 75 µA 2 | Have on-chip non-volatile configuration Memory. Active current <10 mA for most apps. Three low power modes: standby, sleep, and power off. |
iCE40 LP [32] | LP8K | 40 nm | Hybrid (SRAM + Flash) | 7680× LUTs | 128 | - | 1.2 V | 250 µA 2 | Features 2 low-power modes (iCEGate and PLL). | |
MachXO2 [33] | LCMXO2-7000ZE | 65 nm | Hybrid (SRAM + Flash) | 6864× LUTs | 240 | - | 1.2 V | 189 µA 2 | Has internal flash memory mimicking a non-volatile device. Standby mode for low power consumption. | |
Microchip Technology | IGLOO2 [34,35,36] | M2GL005 | 65 nm | Flash | 6060× LEs | 703 | 11× Mul (18 × 18) | 1.2 V | 1.4 mA 2,* 6.2 mA 2,$ | Flash-dreeze mode: consumption < 1 mW. |
IGLOO nano [37,38] | AGLN250 | 130 nm | Flash | 3000× LEs | 36 | - | 1.2 V | 20 µA 2,* | Three low-power modes: flash, freeze, and sleep. Flash-freeze power mode has power consumption of 24 µW. | |
GOWIN Semiconductor | LittleBee [39] | GW1NZ-ZV2 | 55 nm | Flash | 2304× LUTs | 70 | - | 0.9 V/1.0 V | 120 µA 3 | Offers low-power mode and normal mode. Low cost and low power; suitable for mobile and wearable devices. |
Ref. | Year | Focus | Wearable Devices | Healthcare Applications | Common LPTs | FPGA-Specific LPTs | Categorization of LPTs | Limitations |
---|---|---|---|---|---|---|---|---|
[41] | 2024 | Wearables in medical domain | Comprehensive review of LPTs for WMDs and taxonomy. However, FPGA-based wearables and corresponding LPTs were not focused upon. | |||||
[52] | 2024 | Low-power wearables | This paper is focused on general design consideration for smart wearable healthcare devices; it does not present LPTs for WMDs. | |||||
[50] | 2024 | Machine learning (ML) in biomedical field | This work is focused on optimization of ML models to overcome resource limitations for a given accuracy. However, a detailed exploration of LPTs remained out of scope. | |||||
[51] | 2024 | Wearable and Implantable Devices | The main focus is on dynamic voltage frequency scaling, power, and clock gating along with brief mention of “algorithm-level techniques”. Broad-level exploration of LPTs out of scope. | |||||
[53] | 2023 | General use of FPGA | While the focus of their work lies on technological, architectural, and CAD tool improvements, they briefly listed and discussed some optimizations for system-level design. Comprehensive review of LPTs at system-level design, specifically within WMDs, is missing. | |||||
[42] | 2023 | IoWT in healthcare | Reviewed various energy efficient strategies in IoWT without focusing on a particular platform. FPGA-specific optimization techniques were not considered. | |||||
[43] | 2022 | Wearables in medical domain | Comprehensive review of LPTs for WMDs and taxonomy. However, FPGA-based wearables and corresponding LPTs were not under focus. | |||||
[44] | 2022 | Edge computing in healthcare | The authors reviewed various edge computing architectures in the healthcare domain. However, a detailed discussion of LPTs and the underlying principles for FPGA-based WMDs was out of their scope. | |||||
[54] | 2021 | IoT | Reviewed LPTs at technology, architecture, and CAD tool levels, targeting IoT devices. A review of LPTs for the system design level is missing. | |||||
[45] | 2020 | IoWT | Although various energy-efficient solutions for IoWT applications were examined, energy-efficient utilization of FPGAs in such applications was missing. | |||||
[55] | 2020 | Edge computing | Comprehensively reviewed energy optimization in edge computing in broader prospects. However, application-specific optimizations for WMDs in physiological monitoring remained out of the main scope. | |||||
[46] | 2020 | Mobile devices | A comprehensive review with a primary focus on computation offloading to cloud in mobile devices. However, application-specific optimizations for FPGA-based WMDs in physiological monitoring were not the main focus. | |||||
[56] | 2020 | FPGA-based convolutional neural networks | A survey of techniques for optimization of CNNs on FPGAs. It lacks a detailed discussion of LPTs for WMDs as potential applications. | |||||
[57] | 2019 | FPGA-based deep learning (DL) | This work examined recent research on implementing DL models in FPGAs in terms of performance and energy efficiency. However, classification of LPTs for WMDs was beyond the scope of their study. | |||||
[13] | 2019 | Wireless sensor networks (WSNs) in IoT | Explores FPGA-related LPTs within the domain of WSN for IoT applications. However, the use of such LPTs in WMDs was not covered. | |||||
[47] | 2017 | Wearable devices | Does not delve into the energy optimization techniques, classification, or the use of FPGAs in wearables. | |||||
[49] | 2017 | Human context recognition (HCR) via WSNs | A comprehensive review with a primary focus on HCR applications. However, it does not delve into FPGA-based optimization. | |||||
[58] | 2016 | Remote healthcare monitoring using IoT | Limited to communication-related optimizations only. | |||||
[48] | 2015 | Wearable devices | Classifies energy optimization strategies into HW and firmware levels. However, FPGA-based approaches were not explored. | |||||
This Work | Wearable medical devices | – |
Strategy | Description | Advantages | Constraints |
---|---|---|---|
Simplification of arithmetic operations | Complex operations, such as multiplication, are replaced with simpler ones (e.g., MAC to SAC or MUL to XNOR) to reduce computational resources. | Requires fewer logic elements and memory resources. Simpler operations can lead to lower switching activity. Reduced resource usage allows more parallelism for performance, lower latency, and faster computation. Reduced power consumption. Less heat generation leads to more comfort and safety of WMDs. | Possible loss of precision and therefore accuracy. Accumulation of smaller errors over time can affect reliability. Can limit the flexibility of the system. |
Approximation of arithmetic operations | Complex functions are approximated with simpler ones, having similar curves, to reduce computation complexity. | ||
Sparsity-aware arithmetic | Exploiting the sparse nature of signals to reduce the number of active computations. | ||
Parameter quantization | Floating-point operations are replaced with fixed-point or even lower-bit integer operations (e.g., FP-32 to FP-16, INT-32, INT16, INT8, or INT4), with binarization of parameters to 1 and −1 values. | ||
Feature reduction | Reduces data and computations by eliminating less relevant features. | ||
Fused-logic model implementation | Fusion of operations across adjacent layers or across the components to reduce memory access and improve computational efficiency. | ||
Signal format conversion | Conversion of signal formats to reduce complex arithmetic operations (e.g., ECG to binary image). |
Strategy | Description | Advantages | Constraints |
---|---|---|---|
Data compression | Compress the data in lossy or lossless fashion to reduce the transmission load | Reduction in power required for transmission. Reduction in storage requirement. | Trade-off between power saved from transmission vs. spent for compression & reconstruction. Power saving vs. accuracy trade-off |
Compressed sensing | Efficient acquisition and reconstruction of signals using fewer samples than typically needed | Reduction in power required for both acquisition and transmission. Reduction in storage requirement. | |
Compressed learning | Focuses on directly inferring information from compressed measurements using machine learning tools | Eliminate the resource and power consumption required for reconstruction. | Additional design effort for optimizing sensing and inference with deep learning during training Trade-off: power consumption vs. accuracy |
Power-aware transmission | Adjusting the transmission load based on the current battery level | Maintain availability of critical data by prolonging the battery lifetime. | Could impact real-time monitoring |
Strategy | Description | Advantages | Constraints |
---|---|---|---|
Event-driven approach | Activation of hardware components only in response to specific events, rather than running them continuously. | Avoid wasteful energy expenditure during idle periods. | Introduces latency associated with activation time and sharing of resources. Could compromise reliability if a true event is missed. |
Duty cycle optimization | Optimizes average power consumption by balancing active and idle periods at predetermined intervals. | Balance the on and off periods to optimize power consumption. Minimize switching activities. | |
TDM of hardware components | Share the hardware components among multiple tasks in a time-sliced manner. | Reduce energy usage by avoiding powering up multiple components simultaneously when a single one can be time-shared. Efficient resource management. |
Strategy | Description | Advantages | Constraints |
---|---|---|---|
Dynamic partial reconfiguration | A distinct feature of FPGAs used to reconfigure parts of the FPGA on the fly for resource optimization. Used in event-driven & TDM approaches. | Reduce both dynamic and static power consumption. | Introduces latency associated with activation or wake-up time. Could compromise the reliability if a true event is missed. |
Custom clock gating | Selective enabling of the clocks of different modules to reduce unnecessary switching activities. Enables event-driven, duty-cycling and fine-grained power modes. | Minimize switching activities. Reduces dynamic power consumption. | |
Fine-grained power modes | Putting the components to sleep or into deep sleep mode when in an idle state. | Reduce both dynamic and static power consumption. |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2024 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Khan, M.I.; da Silva, B. Harnessing FPGA Technology for Energy-Efficient Wearable Medical Devices. Electronics 2024, 13, 4094. https://doi.org/10.3390/electronics13204094
Khan MI, da Silva B. Harnessing FPGA Technology for Energy-Efficient Wearable Medical Devices. Electronics. 2024; 13(20):4094. https://doi.org/10.3390/electronics13204094
Chicago/Turabian StyleKhan, Muhammad Iqbal, and Bruno da Silva. 2024. "Harnessing FPGA Technology for Energy-Efficient Wearable Medical Devices" Electronics 13, no. 20: 4094. https://doi.org/10.3390/electronics13204094
APA StyleKhan, M. I., & da Silva, B. (2024). Harnessing FPGA Technology for Energy-Efficient Wearable Medical Devices. Electronics, 13(20), 4094. https://doi.org/10.3390/electronics13204094