[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/3631882.3631886acmotherconferencesArticle/Chapter ViewAbstractPublication PagesmemsysConference Proceedingsconference-collections
research-article
Open access

RAMPART: RowHammer Mitigation and Repair for Server Memory Systems

Published: 08 April 2024 Publication History

Abstract

RowHammer attacks are a growing security and reliability concern for DRAMs and computer systems as they can induce many bit errors that overwhelm error detection and correction capabilities. System-level solutions are needed as process technology and circuit improvements alone are unlikely to provide complete protection against RowHammer attacks in the future. This paper introduces RAMPART, a novel approach to mitigating RowHammer attacks and improving server memory system reliability by remapping addresses in each DRAM in a way that confines RowHammer bit flips to a single device for any victim row address. When RAMPART is paired with Single Device Data Correction (SDDC) and patrol scrub, error detection and correction methods in use today, the system can detect and correct bit flips from a successful attack, allowing the memory system to heal itself. RAMPART is compatible with DDR5’s RowHammer mitigation features, as well as a wide variety of algorithmic and probabilistic tracking methods. We also introduce BRC-VL, a variation of DDR5’s Bounded Refresh Configuration (BRC) that improves system performance by reducing mitigation overhead and show that it works well with probabilistic sampling methods to combat traditional and victim-focused mitigation attacks like Half-Double. The combination of RAMPART, SDDC, and scrubbing enables stronger RowHammer resistance by correcting bit flips from one successful attack. Uncorrectable errors are much less likely, requiring two successful attacks before the memory system is scrubbed.

References

[1]
Onur Mutlu and Jeremie S. Kim. 2020. RowHammer: A Retrospective. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, 8, (August 2020), 1555-1571. https://doi.org/10.1109/TCAD.2019.2915318
[2]
Pietro Frigo, Emanuele Vannacc, Hasan Hassan, Victor van der Veen, Onur Mutlu, Cristiano Giuffrida, Herbert Bos, and Kaveh Razavi. 2020. TRRespass: Exploiting the many sides of target row refresh. In 2020 IEEE Symposium on Security and Privacy (SP). IEEE Press, New York, NY, USA, 747-762, https://doi.org/10.1109/SP40000.2020.00090
[3]
Lois Orosa, Abdullah Giray Yaglikci, Haocong Luo, Ataberk Olgun, Jisung Park, Hasan Hassan, Minesh Patel, Jeremie S. Kim, and Onur Mutlu. 2021. A Deeper Look into RowHammer's Sensitivities: Experimental Analysis of Real DRAM Chips and Implications on Future Attacks and Defenses. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO '21). ACM, New York, NY, USA, 1182–1197. https://doi.org/10.1145/3466752.3480069
[4]
Thomas Yang and Xi-Wei Lin. 2019. Trap-Assisted DRAM Row Hammer Effect. IEEE Electron Device Letters, 40, 3, (March 2019), 391-394. https://doi.org/10.1109/LED.2019.2891260
[5]
Andrew J. Walker, Sungkwon Lee, and Dafna Beery. 2021. On DRAM Rowhammer and the Physics of Insecurity. IEEE Transactions on Electron Devices, 68, 4, (April 2021), 1400-1410. https://doi.org/10.1109/TED.2021.3060362
[6]
JEDEC. DDR5 SDRAM, JEDEC Standard JESD79-5B, Sept 2022. https://www.jedec.org/standards-documents/docs/jesd79-5b
[7]
Hasan Hassan, Yahya Can Tugrul, Jeremie S. Kim, Victor van der Veen, Kaveh Razavi, and Onur Mutlu. 2021. Uncovering In-DRAM RowHammer Protection Mechanisms: A New Methodology, Custom RowHammer Patterns, and Implications. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO '21). ACM, New York, NY, USA, 1198–1213. https://doi.org/10.1145/3466752.3480110
[8]
Yoongu Kim, Ross Daly, Jeremie Kim, Chris Fallin, Ji Hye Lee, Donghyuk Lee, Chris Wilkerson, Konrad Lai, and Onur Mutlu. 2014. Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors. In Proceedings of the 41st Annual International Symposium on Computer Architecture (ISCA ‘14). IEEE Press, New York, NY, USA, 361-372.
[9]
Jeremie S. Kim, Minesh Patel, A. Giray Yağlıkçı, Hasan Hassan, Roknoddin Azizi, Lois Orosa, and Onur Mutlu. 2020. Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques. In Proceedings of the 47th Annual International Symposium on Computer Architecture (ISCA '20). IEEE Press, New York, NY, USA, 638–651. https://doi.org/10.1109/ISCA45697.2020.00059
[10]
A. Giray Yağlıkçı, Haocong Luo, Geraldo F. De Oliviera, Ataberk Olgun, Minesh Patel, Jisung Park, Hasan Hassan, Jeremie S. Kim, Lois Orosa, and Onur Mutlu. 2022. Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices. In 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN). IEEE Press, New York, NY, USA, 475-487. https://doi.org/10.1109/DSN53405.2022.00054
[11]
Mark Seaborn and Thomas Dullien. 2015. Exploiting the DRAM rowhammer bug to gain kernel privileges. In Black Hat 2015. https://www.blackhat.com/docs/us-15/materials/us-15-Seaborn-Exploiting-The-DRAM-Rowhammer-Bug-To-Gain-Kernel-Privileges-wp.pdf
[12]
Daniel Gruss, Moritz Lipp, Michael Schwarz, Daniel Genkin, Jonas Juffinger, Sioli O'Connell, Wolfgang Schoechl, and Yuval Yarom. 2018. Another Flip in the Wall of Rowhammer Defenses. In 2018 IEEE Symposium on Security and Privacy (SP). IEEE Press, New York, NY, USA, 245-261. https://doi.org/10.1109/SP.2018.00031
[13]
Daniel Gruss, Clémentine Maurice, and Stefan Mangard. 2016. Rowhammer.js: A Remote Software-Induced Fault Attack in Javascript. In Proceedings of the 13th International Conference on Detection of Intrusions and Malware, and Vulnerability Assessment - Volume 9721 (DIMVA 2016). Springer-Verlag, Berlin, Germany, 300–321. https://doi.org/10.1007/978-3-319-40667-1_15
[14]
Andrew Kwong, Daniel Genkin, Daniel Gruss, and Yuval Yarom. 2020. RAMBleed: Reading Bits in Memory Without Accessing Them. In 2020 IEEE Symposium on Security and Privacy (SP). IEEE Press, New York, NY, USA, 695-711. https://doi.org/10.1109/SP40000.2020.00020
[15]
Fan Yao, Adnan Siraj Rakin, and Deliang Fan. 2020. DeepHammer: Depleting the Intelligence of Deep Neural Networks through Targeted Chain of Bit Flips. In Proceedings of the 29th USENIX Conference on Security Symposium (SEC'20). USENIX Association, USA, Article 83, 1463–1480.
[16]
Zhi Zhang, Yueqiang Cheng, Dongxi Liu, Surya Nepal, Zhi Wang, and Yuval Yarom. 2020. PThammer: Cross-User-Kernel-Boundary Rowhammer through Implicit Accesses. In MICRO-53: 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO '20). ACM, New York, NY, USA, 28-41. https://doi.org/10.1109/MICRO50266.2020.00016
[17]
Andrei Tatar, Cristiano Giuffrida, Herbert Bos, and Kaveh Razavi. 2018. Defeating Software Mitigations Against Rowhammer: A Surgical Precision Hammer. In: Bailey, M., Holz, T., Stamatogiannakis, M., Ioannidis, S. (eds) Research in Attacks, Intrusions, and Defenses (RAID 2018). Lecture Notes in Computer Science, Vol. 11050. Springer, Berlin, Germany. https://doi.org/10.1007/978-3-030-00470-5_3
[18]
Google LLC. 2021. Half-Double: Next-Row-Over Assisted RowHammer. https://github.com/google/hammer-kit/blob/main/ 20210525_half_double.pdf
[19]
Mungyu Son, Hyunsun Park, Junwhan Ahn, and Sungjoo Yoo. 2017. Making DRAM Stronger Against Row Hammering. In Proceedings of the 54th Annual Design Automation Conference 2017 (DAC '17). ACM, New York, NY, USA, Article 55, 1–6. https://doi.org/10.1145/3061639.3062281
[20]
Jung Min You and Joon-Sung Yang. 2019. MRLoc: Mitigating Row-hammering Based on Memory Locality. In Proceedings of the 56th Annual Design Automation Conference 2019 (DAC '19). ACM, New York, NY, USA, Article 19, 1–6. https://doi.org/10.1145/3316781.3317866
[21]
Eojin Lee, Ingab Kang, Sukhan Lee, G. Edward Suh, and Jung Ho Ahn. 2019. TWiCe: Preventing Row-hammering by Exploiting Time Window Counters. In Proceedings of the 46th International Symposium on Computer Architecture (ISCA '19). ACM, New York, NY, USA, 385–396. https://doi.org/10.1145/3307650.3322232
[22]
Zelalem Birhanu Aweke, Salessawi Ferede Yitbarek, Rui Qiao, Reetuparna Das, Matthew Hicks, Yossi Oren, and Todd Austin. 2016. ANVIL: Software-Based Protection Against Next-Generation Rowhammer Attacks. In Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '16). ACM, New York, NY, USA, 743–755. https://doi.org/10.1145/2872362.2872390
[23]
Carsten Bock, Ferdinand Brasser, David Gens, Christopher Liebchen, and Ahmad-reza Sadeghi. 2019. RIP-RH: Preventing rowhammer-based inter-process attacks. In Proceedings of the 2019 ACM Asia Conference on Computer and Communications Security (Asia CCS '19). ACM, New York, NY, USA, 561–572. https://doi.org/10.1145/3321705.3329827
[24]
Ferdinand Brasser, Lucas Davi, David Gens, Christopher Liebchen, and Ahmad-Reza Sadeghi. 2017. CAn't touch this: software-only mitigation against rowhammer attacks targeting kernel memory. In Proceedings of the 26th USENIX Conference on Security Symposium (SEC'17). USENIX Association, USA, 117–130.
[25]
Lucian Cojocar, Kaveh Razavi, Cristiano Giuffrida, and Herbert Bos. 2019. Exploiting Correcting Codes: On the Effectiveness of ECC Memory Against Rowhammer Attacks. In 2019 IEEE Symposium on Security and Privacy (SP). IEEE Press, New York, NY, USA, 55-71. https://doi.org/10.1109/SP.2019.00089
[26]
Ali Fakhrzadehgan, Yale Patt, Prashant J. Nair, and Moinuddin K. Qureshi. 2022. SafeGuard: Reducing the Security Risk from Row-Hammer via Low-Cost Integrity Protection. In Proceedings of the 28th IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE Press, New York, NY, USA, 373-386. https://doi.org/10.1109/HPCA53966.2022.00035
[27]
Dae-Hyun Kim, Prashant J. Nair, and Moinuddin K. Qureshi. 2015. Architectural Support for Mitigating Row Hammering in DRAM Memories. In IEEE Computer Architecture Letters, 14, 1, (January 2015), 9-12. https://doi.org/10.1109/LCA.2014.2332177
[28]
Radhesh Krishnan Konoth, Marco Oliverio, Andrei Tatar, Dennis Andriesse, Herbert Bos, Cristiano Giurida, and Kaveh Razavi. 2018. ZebRAM: Comprehensive and Compatible Software Protection Against Rowhammer Attacks. In Proceedings of the 13th USENIX conference on Operating Systems Design and Implementation (OSDI'18). USENIX Association, USA, 697–710.
[29]
Kevin Loughlin, Stefan Saroiu, Alec Wolman, and Baris Kasikci. 2021. Stop! Hammer Time: Rethinking Our Approach to Rowhammer Mitigations. In Proceedings of the Workshop on Hot Topics in Operating Systems (HotOS '21). ACM, New York, NY, USA, 88–95. https://doi.org/10.1145/3458336.3465295
[30]
Yeonhong Park, Woosuk Kwon, Eojin Lee, Tae Jun J. Ham, Jung Ho Ahn, and Jae W. Lee. 2020. Graphene: Strong Yet Lightweight Row Hammer Protection. In MICRO-53: 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO '20). ACM, New York, NY, USA, 1-13. https://doi.org/10.1109/MICRO50266.2020.00014
[31]
Gururaj Saileshwar, Prashant J. Nair, Prakash Ramrakhyani, Wendy Elsasser, and Moinuddin K. Qureshi. 2018. Synergy: Rethinking secure-memory design for error-correcting memories. In Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE Press, New York, NY, USA, 454-465. https://doi.org/10.1109/HPCA.2018.00046
[32]
Gururaj Saileshwar, Bolin Wang, Moinuddin Qureshi, and Prashant J. Nair. 2022. Randomized Row-Swap: Mitigating Row Hammer by Breaking Spatial Correlation Between Aggressor and Victim Rows. In Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '22). ACM, New York, NY, USA, 1056–1069. https://doi.org/10.1145/3503222.3507716
[33]
Seyed Mohammad Seyedzadeh, Alex K. Jones, and Rami Melhem. 2018. Mitigating Wordline Crosstalk Using Adaptive Trees of Counters. In Proceedings of the 45th Annual International Symposium on Computer Architecture (ISCA '18). IEEE Press, New York, NY, USA, 612–623. https://doi.org/10.1109/ISCA.2018.00057
[34]
Victor van der Veen, Martina Lindorfer, Yanick Fratantonio, Harikrishnan Padmanabha Pillai, Giovanni Vigna, Christopher Kruegel, Herbert Bos, and Kaveh Razavi. 2018. GuardION: Practical Mitigation of DMA-Based Rowhammer Attacks on ARM. In: Giuffrida, C., Bardin, S., Blanc, G. (eds) Detection of Intrusions and Malware, and Vulnerability Assessment (DIMVA 2018). Lecture Notes in Computer Science, Vol. 10885. Springer, Berlin, Germany. https://doi.org/10.1007/978-3-319-93411-2_5
[35]
Xin-Chuan Wu, Timothy Sherwood, Frederic T. Chong, and Yanjing Li. 2019. Protecting Page Tables from RowHammer Attacks Using Monotonic Pointers in DRAM True-Cells. In Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '19). ACM, New York, NY, USA, 645–657. https://doi.org/10.1145/3297858.3304039
[36]
Abdullah Giray Yağlikçi, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha-Michael Shahroodi, Saugata Ghoseet, and Onur Mutlu. 2021. BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows. In Proceedings of the 27th IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE Press, New York, NY, USA, 345-358. https://doi.org/10.1109/HPCA51647.2021.00037
[37]
Tanj Bennett, Stefan Saroiu, Alec Wolman, and Lucian Cojocar. 2021. Panopticon: A Complete In-DRAM Rowhammer Mitigation. In First Workshop on DRAM Security (DRAMSec, virtual). https://dramsec.ethz.ch/papers/panopticon.pdf
[38]
Michael Jaemin Kim, Jaehyun Park, Yeonhong Park, Wanju Doh, Namhoon Kim, Tae Jun Ham, Jae W. Lee, and Jung Ho Ahn. 2022. Mithril: Cooperative Row Hammer Protection on Commodity DRAM Leveraging Managed Refresh. In Proceedings of the 28th IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE Press, New York, NY, USA, 1156-1169. https://doi.org/10.1109/HPCA53966.2022.00088
[39]
Kevin Loughlin, Stefan Saroiu, Alec Wolman, Yatin A. Manerkar, and Baris Kasikci. 2022. MOESI-prime: Preventing Coherence-Induced Hammering in Commodity Workloads. In Proceedings of the 49th Annual International Symposium on Computer Architecture (ISCA '22). ACM, New York, NY, USA, 670–684. https://doi.org/10.1145/3470496.3527427
[40]
Moinuddin Qureshi, Aditya Rohan, Gururaj Saileshwar, and Prashant J. Nair. 2022. Hydra: Enabling Low-Overhead Mitigation of Row-Hammer at Ultra-Low Thresholds via Hybrid Tracking. In Proceedings of the 49th Annual International Symposium on Computer Architecture (ISCA '22). ACM, New York, NY, USA, 699–710. https://doi.org/10.1145/3470496.3527421
[41]
Anish Saxena, Gururaj Saileshwar, Prashant J. Nair, and Moinuddin Qureshi. 2022. AQUA: Scalable Rowhammer Mitigation by Quarantining Aggressor Rows at Runtime. In MICRO-55: 55th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO ‘22). ACM, New York, NY, USA, 108-123. https://doi.org/10.1109/MICRO56248.2022.00022
[42]
Moonsoo Kim, Jungwoo Choi, Hyun Kim, and Hyuk-Jae Lee. 2019. An Effective DRAM Address Remapping for Mitigating Rowhammer Errors. IEEE Transactions on Computers 68, 10, (October 2019), 1428-1441. https://doi.org/10.1109/TC.2019.2907248
[43]
Yicheng Wang, Yang Liu, Peiyun Wu, and Zhao Zhang. 2019. Reinforce Memory Error Protection by Breaking DRAM Disturbance Correlation Within ECC Words. In Proceedings of the 37th IEEE International Conference on Computer Design (ICCD). IEEE Press, New York, NY, USA, 672-675. https://doi.org/10.1109/ICCD46524.2019.00097
[44]
Minbok Wi, Jaehyun Park, Seoyoung Ko, Michael Jaemin Kim, Nam Sung Kim, Eojin Lee, and Jung Ho Ahn. 2023. SHADOW: Preventing Row Hammer in DRAM with Intra-Subarray Row Shuffling. In Proceedings of the 29th IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE Press, New York, NY, USA, 333-346. https://doi.org/10.1109/HPCA56546.2023.10070966
[45]
Jeonghyun Woo, Gururaj Saileshwar, and Prashant J. Nair. 2023. Scalable and Secure Row-Swap: Efficient and Safe Row Hammer Mitigation in Memory Systems. In Proceedings of the 29th IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE Press, New York, NY, USA, 374-389. https://doi.org/10.1109/HPCA56546.2023.10070999
[46]
Intel Corporation. 2022. Intel® Xeon® Processor E7 Family: Reliability, Availability, and Serviceability. Retrieved October 15, 2022 from https://www.intel.com/content/dam/www/public/us/en/documents/white-papers/xeon-e7-family-ras-server-paper.pdf
[47]
Minesh Patel, Taha Shahroodi, Aditya Manglik, A. Giray Yaglikci, Ataberk Olgun, Haocong Luo, and Onur Mutlu. 2022. A Case for Transparent Reliability in DRAM Systems. arXiv:2204.10378. Retrieved from https://arxiv.org/abs/2204.10378
[48]
Dongkyun Kim, Minsu Park, Sungchun Jang, Jun-Yong Song, Hankyu Chi, Geunho Choi, Sunmyung Choi, Jaeil Kim, Changhyun Kim, Kyungwhan Kim, Kibong Koo, Seonghwi Song, Yongmi Kim, Dong Uk Lee, Jaein Lee, Daesuk Kim, Kihun Kwon, Minsik Han, Byeongchan Choi, Hongjung Kim, Sanghyun Ku, Yeonuk Kim, Jongsam Kim, Sanghui Kim, Youngsuk Seo, Seungwook Oh, Dain Im, Haksong Kim, Jonghyuck Choi, Jinil Chung, Changhyun Lee, Yongsung Lee, Joo-Hwan Cho, Junhyun Chun, and Jonghoon Oh. 2019. A 1.1V 1ynm 6.4Gb/s/pin 16Gb DDR5 SDRAM with a Phase-Rotator-Based DLL, High-Speed SerDes and RX/TX Equalization Scheme. In Proceedings of the 2019 IEEE International Solid-State Circuits Conference - (ISSCC). IEEE Press, New York, NY, USA, 380-382. https://doi.org/10.1109/ISSCC.2019.8662320
[49]
Matthias Jung, Christian Weis, Norbert Wehn, and Karthik Chandrasekar. 2013. TLM Modelling of 3D Stacked Wide I/O DRAM Subsystems, A Virtual Platform for Memory Controller Design Space Exploration. In Proceedings of the 2013 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO '13). ACM, New York, NY, USA, Article 5, 1–6. https://doi.org/10.1145/2432516.2432521
[50]
Matthias Jung, Christian Weis, and Norbert Wehn. 2015. DRAMSys: A Flexible DRAM Subsystem Design Space Exploration Framework. IPSJ Transactions on System LSI Design Methodology (T-SLDM) Vol. 8, (October 2015), 63-74. https://doi.org/10.2197/ipsjtsldm.8.63
[51]
Lukas Steiner, Matthias Jung, Felipe S. Prado, Kirill Bykov, and Norbert Wehn. 2020. DRAMSys4.0: A Fast and Cycle-Accurate SystemC/TLM-Based DRAM Simulator. In: Orailoglu, A., Jung, M., Reichenbach, M. (eds) Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2020). Lecture Notes in Computer Science, Vol. 12471. Springer, Berlin, Germany. https://doi.org/10.1007/978-3-030-60939-9_8
[52]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib B. Altaf, Nilay Vaish, Mark D. Hill, and David Wood. 2011. The gem5 Simulator. SIGARCH Computer Architecture News 39, 2 (May 2011), 1–7. https://doi.org/10.1145/2024716.2024718
[53]
Jason Lowe-Power, Abdul Mutaal Ahmad, Ayaz Akram, Mohammad Alian, Rico Amslinger, Matteo Andreozzi, Adrià Armejach, Nils Asmussen, Brad Beckmann, Srikant Bharadwaj, Gabe Black, Gedare Bloom, Bobby R. Bruce, Daniel Rodrigues Carvalho, Jeronimo Castrillon, Lizhong Chen, Nicolas Derumigny, Stephan Diestelhorst, Wendy Elsasser, Carlos Escuin, Marjan Fariborz, Amin Farmahini-Farahani, Pouya Fotouhi, Ryan Gambord, Jayneel Gandhi, Dibakar Gope, Thomas Grass, Anthony Gutierrez, Bagus Hanindhito, Andreas Hansson, Swapnil Haria, Austin Harris, Timothy Hayes, Adrian Herrera, Matthew Horsnell, Syed Ali Raza Jafri, Radhika Jagtap, Hanhwi Jang, Reiley Jeyapaul, Timothy M. Jones, Matthias Jung, Subash Kannoth, Hamidreza Khaleghzadeh, Yuetsu Kodama, Tushar Krishna, Tommaso Marinelli, Christian Menard, Andrea Mondelli, Miquel Moreto, Tiago Mück, Omar Naji, Krishnendra Nathella, Hoa Nguyen, Nikos Nikoleris, Lena E. Olson, Marc Orr, Binh Pham, Pablo Prieto, Trivikram Reddy, Alec Roelke, Mahyar Samani, Andreas Sandberg, Javier Setoain, Boris Shingarov, Matthew D. Sinclair, Tuan Ta, Rahul Thakur, Giacomo Travaglini, Michael Upton, Nilay Vaish, Ilias Vougioukas, William Wang, Zhengrong Wang, Norbert Wehn, Christian Weis, David A. Wood, Hongil Yoon, and Éder F. Zulian. 2020. The gem5 simulator: Version 20.0+. arXiv:2007.03152. Retrieved from https://arxiv.org/abs/2007.03152
[54]
Standard Performance Evaluation Corporation. 2017. SPEC CPU2017 Benchmark Suite. http://www.spec.org/cpu2017/
[55]
Scott Beamer, Krste Asanović, and David Patterson. 2015. The GAP Benchmark Suite. arXiv:1508.03619. Retrieved from https://arxiv.org/abs/1508.03619
[56]
Micron. 2020. Micron DDR5: Key Module Features. https://media-www. micron.com/-/media/client/global/documents/products/technical-mark eting-brief/ddr5_key_module_features_tech_brief.pdf
[57]
Majed Valad Beigi, Yi Cao, Sudhanva Gurumurthi, Charles Recchia, Andrew Walton, and Vilas Sridharan. 2023. A Systematic Study of DDR4 DRAM Faults in the Field. In Proceedings of the 29th IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE Press, New York, NY, USA, 991-1002. https://doi.org/10.1109/HPCA56546.2023.10071066
[58]
Sarabjeet Singh, and Manu Awasthi. 2019. Memory Centric Characterization and Analysis of SPEC CPU2017 Suite. arXiv:1910.00651. Retrieved from https://arxiv.org/abs/1910.00651
[59]
Intel Corporation. 2023. Intel Xeon Platinum Processor. Retrieved April 15, 2023 from https://www.intel.com/content/www/us/en/products/details/processors/xeon/scalable/platinum.html
[60]
Isaac Sofair. 2000. Probability of Miscorrection for Reed-Solomon Codes. In Proceedings of The International Conference on Information Technology: Coding and Computing (ITCC'00). IEEE Computer Society, USA, 398-401. https://doi.org/10.1109/ITCC.2000.844260

Cited By

View all
  • (2024)Cache Line Pinning for Mitigating Row Hammer AttackProceedings of the 53rd International Conference on Parallel Processing10.1145/3673038.3673114(802-811)Online publication date: 12-Aug-2024
  • (2024)Self-Managing DRAM: A Low-Cost Framework for Enabling Autonomous and Efficient DRAM Maintenance Operations2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO61859.2024.00074(949-965)Online publication date: 2-Nov-2024
  • (2024)DRAMScope: Uncovering DRAM Microarchitecture and Characteristics by Issuing Memory Commands2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00083(1097-1111)Online publication date: 29-Jun-2024
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Other conferences
MEMSYS '23: Proceedings of the International Symposium on Memory Systems
October 2023
231 pages
ISBN:9798400716447
DOI:10.1145/3631882
This work is licensed under a Creative Commons Attribution-ShareAlike International 4.0 License.

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 08 April 2024

Check for updates

Badges

  • Distinguished Paper

Author Tags

  1. DRAM
  2. ECC
  3. Fault Tolerance
  4. Memory System
  5. Reliability
  6. RowHammer
  7. Security

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Conference

MEMSYS '23
MEMSYS '23: The International Symposium on Memory Systems
October 2 - 5, 2023
VA, Alexandria, USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)389
  • Downloads (Last 6 weeks)104
Reflects downloads up to 21 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Cache Line Pinning for Mitigating Row Hammer AttackProceedings of the 53rd International Conference on Parallel Processing10.1145/3673038.3673114(802-811)Online publication date: 12-Aug-2024
  • (2024)Self-Managing DRAM: A Low-Cost Framework for Enabling Autonomous and Efficient DRAM Maintenance Operations2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO61859.2024.00074(949-965)Online publication date: 2-Nov-2024
  • (2024)DRAMScope: Uncovering DRAM Microarchitecture and Characteristics by Issuing Memory Commands2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00083(1097-1111)Online publication date: 29-Jun-2024
  • (2024)Read Disturbance in High Bandwidth Memory: A Detailed Experimental Study on HBM2 DRAM Chips2024 54th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN)10.1109/DSN58291.2024.00022(75-89)Online publication date: 24-Jun-2024

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media