[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/3394885.3431529acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Aging-Aware Request Scheduling for Non-Volatile Main Memory

Published: 29 January 2021 Publication History

Abstract

Modern computing systems are embracing non-volatile memory (NVM) to implement high-capacity and low-cost main memory. Elevated operating voltages of NVM accelerate the aging of CMOS transistors in the peripheral circuitry of each memory bank. Aggressive device scaling increases power density and temperature, which further accelerates aging, challenging the reliable operation of NVM-based main memory. We propose HEBE, an architectural technique to mitigate the circuit aging-related problems of NVM-based main memory. HEBE is built on three contributions. First, we propose a new analytical model that can dynamically track the aging in the peripheral circuitry of each memory bank based on the bank's utilization. Second, we develop an intelligent memory request scheduler that exploits this aging model at run time to de-stress the peripheral circuitry of a memory bank only when its aging exceeds a critical threshold. Third, we introduce an isolation transistor to decouple parts of a peripheral circuit operating at different voltages, allowing the decoupled logic blocks to undergo long-latency de-stress operations independently and off the critical path of memory read and write accesses, improving performance. We evaluate HEBE with workloads from the SPEC CPU2017 Benchmark suite. Our results show that HEBE significantly improves both performance and lifetime of NVM-based main memory.

References

[1]
M. Arjomand et al., "Boosting access parallelism to PCM-based main memory," in ISCA, 2016.
[2]
R. Ausavarungnirun et al., "Staged memory scheduling: Achieving high performance and scalability in heterogeneous systems," in ISCA, 2012.
[3]
A. Balaji et al., "A framework to explore workload-specific performance and lifetime trade-offs in neuromorphic computing," CAL, 2019.
[4]
A. Balaji et al., "Mapping spiking neural networks to neuromorphic hardware," TVLSI, 2020.
[5]
A. Balaji et al., "Enabling resource-aware mapping of spiking neural networks via spatial decomposition," ESL, 2020.
[6]
C. Bolchini et al., "A lightweight and open-source framework for the lifetime estimation of multicore systems," in ICCD, 2014.
[7]
J. Bucek et al., "SPEC CPU2017: Next-generation compute benchmark," in ICPE, 2018.
[8]
G. W. Burr et al., "Neuromorphic computing using non-volatile memory," Advances in Physics: X, 2017.
[9]
K. Chandrasekar et al., "DRAMPower: Open-source DRAM power & energy estimation tool," URL: http://www.drampower.info, 2012.
[10]
K. K. Chang et al., "Understanding latency variation in modern DRAM chips: Experimental characterization, analysis, and optimization," in SIGMETRICS, 2016.
[11]
K. K. Chang et al., "Understanding reduced-voltage operation in modern DRAM devices: Experimental characterization, analysis, and mechanisms," in SIGMETRICS, 2017.
[12]
K. K.-W. Chang et al., "Improving DRAM performance by parallelizing refreshes with accesses," in HPCA, 2014.
[13]
A. Das et al., "Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs," in RSP, 2012.
[14]
A. Das et al., "Fault-tolerant network interface for spatial division multiplexing based network-on-chip," in ReCoSoC, 2012.
[15]
A. Das et al., "Aging-aware hardware-software task partitioning for reliable reconfigurable multiprocessor systems," in CASES, 2013.
[16]
A. Das et al., "Energy-aware dynamic reconfiguration of communication-centric applications for reliable MPSoCs," in ReCoSoC, 2013.
[17]
A. Das et al., "Energy-aware task mapping and scheduling for reliable embedded computing systems," TECS, 2014.
[18]
A. Das et al., "Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs," in DATE, 2014.
[19]
A. Das et al., "Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs," in DATE, 2014.
[20]
A. Das et al., "Reinforcement learning-based inter-and intra-application thermal optimization for lifetime improvement of multicore systems," in DAC, 2014.
[21]
A. Das et al., "Reliability and energy-aware mapping and scheduling of multimedia applications on multiprocessor systems," TPDS, 2015.
[22]
A. Das et al., "VRL-DRAM: Improving DRAM performance via variable refresh latency," in DAC, 2018.
[23]
H. David et al., "Memory power management via dynamic voltage/frequency scaling," in ICAC, 2011.
[24]
Q. Deng et al., "MemScale: Active low-power modes for main memory," in ASPLOS, 2011.
[25]
M. Frulio, "Adaptive non-volatile memory programming," 2016, US Patent.
[26]
R. Gao et al., "NBTI-Generated defects in nanoscaled devices: Fast characterization methodology and modeling," TED, 2017.
[27]
H. Hassan et al., "ChargeCache: Reducing DRAM latency by exploiting row access locality," in HPCA, 2016.
[28]
D. Hisamoto et al., "FinFET-a self-aligned double-gate MOSFET scalable to 20 nm," TED, 2000.
[29]
L. Huang et al., "On task allocation and scheduling for lifetime extension of platform-based mpsoc designs," TPDS, 2011.
[30]
E. Ipek et al., "Self-optimizing memory controllers: A reinforcement learning approach," in ISCA, 2008.
[31]
L. Jiang et al., "A low power and reliable charge pump design for phase change memories," in ISCA, 2014.
[32]
S. Khan et al., "PARBOR: An efficient system-level technique to detect data-dependent failures in DRAM," in DSN, 2016.
[33]
J. Kim et al., "Solar-DRAM: Reducing DRAM access latency by exploiting the variation in local bitlines," in ICCD, 2018.
[34]
J. S. Kim et al., "The DRAM latency PUF: Quickly evaluating physical unclonable functions by exploiting the latency-reliability tradeoff in modern commodity DRAM devices," in HPCA, 2018.
[35]
J. S. Kim et al., "D-RaNGe: Using commodity DRAM devices to generate true random numbers with low latency and high throughput," in HPCA, 2019.
[36]
J. S. Kim et al., "Revisiting RowHammer: An experimental analysis of modern DRAM devices and mitigation techniques," in ISCA, 2020.
[37]
N. Kim et al., "LL-PCM: Low-latency phase change memory architecture," in DAC, 2019.
[38]
Y. Kim et al., "ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers," in HPCA, 2010.
[39]
Y. Kim et al., "Thread cluster memory scheduling: Exploiting differences in memory access behavior," in MICRO, 2010.
[40]
Y. Kim et al., "Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors," in ISCA, 2014.
[41]
Y. Kim et al., "Ramulator: A fast and extensible DRAM simulator." CAL, 2016.
[42]
Y. Kim et al., "A case for exploiting subarray-level parallelism (SALP) in DRAM," in ISCA, 2012.
[43]
D. Kraak et al., "Parametric and Functional Degradation Analysis of Complete 14-nm FinFET SRAM," TVLSI, 2019.
[44]
E. Kültürsay et al., "Evaluating STT-RAM as an energy-efficient main memory alternative," in ISPASS, 2013.
[45]
A. Lalam et al., "Non-volatile dual in-line memory module (NVDIMM) multichip package," US Patent 10,199,364, 2019.
[46]
B. Lee et al., "Phase-change technology and the future of main memory," IEEE Micro, 2010.
[47]
B. Lee et al., "Architecting phase change memory as a scalable DRAM alternative," in ISCA, 2009.
[48]
B. Lee et al., "Phase change memory architecture and the quest for scalability," CACM, 2010.
[49]
D. Lee et al., "Tiered-latency DRAM: A low latency and low cost DRAM architecture," in HPCA, 2013.
[50]
D. Lee et al., "Adaptive-latency DRAM: Optimizing DRAM timing for the common-case," in HPCA, 2015.
[51]
J. Liu et al., "RAIDR: Retention-aware intelligent DRAM refresh," in ISCA, 2012.
[52]
Y. Lu et al., "Loose-ordering consistency for persistent memory," in ICCD, 2014.
[53]
A. Mallik et al., "Design-technology co-optimization for OxRRAM-based synaptic processing unit," in VLSIT, 2017.
[54]
J. A. Mandelman et al., "Challenges and future directions for the scaling of dynamic random-access memory (DRAM)," IBM JRD, 2002.
[55]
J. Meza et al., "Enabling efficient and scalable hybrid memories using fine-granularity DRAM cache management," CAL, 2012.
[56]
J. Meza et al., "A case for small row buffers in non-volatile main memories," in ICCD, 2012.
[57]
J. Meza et al., "A case for efficient hardware/software cooperative management of storage and memory," in WEED, 2013.
[58]
J. Meza et al., "Evaluating row buffer locality in future non-volatile main memories," arXiv, 2018.
[59]
O. Mutlu, "Memory scaling: A systems architecture perspective," in IMW, 2013.
[60]
O. Mutlu, "The rowhammer problem and other issues we may face as memory becomes denser," in DATE, 2017.
[61]
O. Mutlu et al., "Rowhammer: A retrospective," TCAD, 2019.
[62]
O. Mutlu et al., "Stall-time fair memory access scheduling for chip multiprocessors," in MICRO, 2007.
[63]
O. Mutlu et al., "Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems," in ISCA, 2008.
[64]
O. Mutlu et al., "Research problems and opportunities in memory systems," SUFI, 2015.
[65]
K. J. Nesbit et al., "Fair queuing memory systems," in MICRO, 2006.
[66]
M. Patel et al., "The reach profiler (REAPER) enabling the mitigation of DRAM retention failures via profiling at aggressive conditions," in ISCA, 2017.
[67]
S. Pelley et al., "Memory persistency," in ISCA, 2014.
[68]
M. Poremba et al., "Nvmain 2.0: A user-friendly memory simulator to model (non-) volatile memory systems," CAL, 2015.
[69]
M. K. Qureshi, "Pay-As-You-Go: Low-overhead hard-error correction for phase change memories," in MICRO, 2011.
[70]
M. K. Qureshi et al., "Scalable high performance main memory system using phase-change memory technology," in ISCA, 2009.
[71]
M. K. Qureshi et al., "Improving read performance of phase change memories via write cancellation and write pausing," in HPCA, 2010.
[72]
M. K. Qureshi et al., "AVATAR: A variable-retention-time (VRT) aware refresh for DRAM systems," in DSN, 2015.
[73]
A. Redaelli et al., Phase Change Memory. Springer, 2017.
[74]
J. Ren et al., "ThyNVM: Enabling software-transparent crash consistency in persistent memory systems," in MICRO, 2015.
[75]
S. Rixner, "Memory controller optimizations for web servers," in MICRO, 2004.
[76]
S. Rixner et al., "Memory access scheduling," in ISCA, 2000.
[77]
S. K. Sadasivam et al., "IBM POWER 9 processor architecture," IEEE Micro, 2017.
[78]
V. Seshadri et al., "In-DRAM bulk bitwise execution engine," arXiv, 2019.
[79]
V. Seshadri et al., "Gather-scatter DRAM: In-DRAM address translation to improve the spatial locality of non-unit strided accesses," in MICRO, 2015.
[80]
S. Song et al., "A case for lifetime reliability-aware neuromorphic computing," in MWSCAS, 2020.
[81]
S. Song et al., "Enabling and exploiting partition-level parallelism (PALP) in phase change memories," TECS, 2019.
[82]
S. Song et al., "Compiling spiking neural networks to neuromorphic hardware," in LCTES, 2020.
[83]
S. Song et al., "Improving dependability of neuromorphic computing with nonvolatile memory," in EDCC, 2020.
[84]
S. Song et al., "Improving phase change memory performance with data content aware access," in ISMM, 2020.
[85]
S. Song et al., "Exploiting inter- and intra-memory asymmetries for data mapping in hybrid tiered-memories," in ISMM, 2020.
[86]
J. Srinivasan et al., "The case for lifetime reliability-aware microprocessors," in ISCA, 2004.
[87]
L. Subramanian et al., "The blacklisting memory scheduler: Achieving high performance and fairness at low cost," in ICCD, 2014.
[88]
L. Subramanian et al., "BLISS: Balancing performance, fairness and complexity in memory access scheduling," TPDS, 2016.
[89]
T. Titirsha et al., "Reliability-performance trade-offs in neuromorphic computing," in CUT, 2020.
[90]
T. Titirsha et al., "Thermal-aware compilation of spiking neural networks to neuromorphic hardware," in LCPC, 2020.
[91]
H. Usui et al., "DASH: Deadline-aware high-performance memory scheduler for heterogeneous systems with hardware accelerators," TACO, 2016.
[92]
P. Weckx et al., "Non-Monte-Carlo methodology for high-sigma simulations of circuits under workload-dependent BTI degradation-application to 6T SRAM," in IRPS, 2014.
[93]
Wikipedia contributors, "Hebe (mythology) --- Wikipedia, the free encyclopedia," 2020, [Online; accessed 14-Aug-2020].
[94]
H.-S. P. Wong et al., "Phase change memory," Proceedings of the IEEE, 2010.
[95]
F. Xia et al., "A survey of phase change memory systems," JCST, 2015.
[96]
F. Xiong et al., "Towards ultimate scaling limits of phase-change memory," in IEDM, 2016.
[97]
L. Yavits et al., "WoLFRaM: Enhancing wear-leveling and fault tolerance in resistive memories using programmable address decoders," in ICCD, 2020.
[98]
C. Yilmaz et al., "Modeling of NBTI-recovery effects in analog CMOS circuits," in IRPS, 2013.
[99]
H. Yoon et al., "Row buffer locality aware caching policies for hybrid memories," in ICCD, 2012.
[100]
H. Yoon et al., "Efficient data mapping and buffering techniques for multilevel cell phase-change memories," TACO, 2014.
[101]
J. Zhang et al., "RETROFIT: Fault-aware wear leveling," CAL, 2018.
[102]
X. Zhang et al., "Toss-up wear leveling: Protecting phase-change memories from inconsistent write patterns," in DAC, 2017.
[103]
J. Zhao et al., "FIRM: Fair and high-performance memory control for persistent memory systems," in MICRO, 2014.
[104]
W. K. Zuravleff et al., "Controller for a synchronous DRAM that maximizes throughput by allowing memory requests and commands to be issued out of order," US Patent 5,630,096, 1997.

Cited By

View all
  • (2023)Hamming Tree: The Case for Energy-Aware Indexing for NVMsProceedings of the ACM on Management of Data10.1145/35893271:2(1-27)Online publication date: 20-Jun-2023
  • (2023)AGRAS: Aging and memory request rate aware scheduler for PCM memories2023 24th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED57927.2023.10129369(1-8)Online publication date: 5-Apr-2023
  • (2023)Realizing Extreme Endurance Through Fault-aware Wear Leveling and Improved Tolerance2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA56546.2023.10071093(964-976)Online publication date: Feb-2023
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ASPDAC '21: Proceedings of the 26th Asia and South Pacific Design Automation Conference
January 2021
930 pages
ISBN:9781450379991
DOI:10.1145/3394885
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 29 January 2021

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Conference

ASPDAC '21
Sponsor:

Acceptance Rates

ASPDAC '21 Paper Acceptance Rate 111 of 368 submissions, 30%;
Overall Acceptance Rate 466 of 1,454 submissions, 32%

Upcoming Conference

ASPDAC '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)37
  • Downloads (Last 6 weeks)6
Reflects downloads up to 14 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Hamming Tree: The Case for Energy-Aware Indexing for NVMsProceedings of the ACM on Management of Data10.1145/35893271:2(1-27)Online publication date: 20-Jun-2023
  • (2023)AGRAS: Aging and memory request rate aware scheduler for PCM memories2023 24th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED57927.2023.10129369(1-8)Online publication date: 5-Apr-2023
  • (2023)Realizing Extreme Endurance Through Fault-aware Wear Leveling and Improved Tolerance2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA56546.2023.10071093(964-976)Online publication date: Feb-2023
  • (2023)Extending Memory Capacity in Modern Consumer Systems With Emerging Non-Volatile Memory: Experimental Analysis and Characterization Using the Intel Optane SSDIEEE Access10.1109/ACCESS.2023.331788411(105843-105871)Online publication date: 2023
  • (2023)Loop interchange and tiling for multi-dimensional loops to minimize write operations on NVMsJournal of Systems Architecture10.1016/j.sysarc.2022.102799135(102799)Online publication date: Feb-2023
  • (2022)Design-Technology Co-Optimization for NVM-Based Neuromorphic Processing ElementsACM Transactions on Embedded Computing Systems10.1145/352406821:6(1-27)Online publication date: 12-Dec-2022
  • (2022)DFSynthesizer: Dataflow-based Synthesis of Spiking Neural Networks to Neuromorphic HardwareACM Transactions on Embedded Computing Systems10.1145/347915621:3(1-35)Online publication date: 28-May-2022
  • (2022)Optimal Loop Tiling for Minimizing Write Operations on NVMs with Complete Memory Latency HidingProceedings of the 27th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC52403.2022.9712532(389-394)Online publication date: 17-Jan-2022
  • (2022)Challenges and future directions for energy, latency, and lifetime improvements in NVMsDistributed and Parallel Databases10.1007/s10619-022-07421-x41:3(163-189)Online publication date: 21-Sep-2022
  • (2022)Communication and aging aware application mapping for multicore based edge computing serversCluster Computing10.1007/s10586-022-03588-126:1(223-235)Online publication date: 30-Mar-2022
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media