[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/3218603.3218624acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article
Public Access

Blacklist Core: Machine-Learning Based Dynamic Operating-Performance-Point Blacklisting for Mitigating Power-Management Security Attacks

Published: 23 July 2018 Publication History

Abstract

Most modern computing devices make available fine-grained control of operating frequency and voltage for power management. These interfaces, as demonstrated by recent attacks, open up a new class of software fault injection attacks that compromise security on commodity devices. CLKSCREW, a recently-published attack that stretches the frequency of devices beyond their operational limits to induce faults, is one such attack. Statically and permanently limiting frequency and voltage modulation space, i.e., guard-banding, could mitigate such attacks but it incurs large performance degradation and long testing time. Instead, in this paper, we propose a run-time technique which dynamically blacklists unsafe operating performance points using a neural-net model. The model is first trained offline in the design time and then subsequently adjusted at run-time by inspecting a selected set of features such as power management control registers, timing-error signals, and core temperature. We designed the algorithm and hardware, titled a BlackList (BL) core, which is capable of detecting and mitigating such power management-based security attack at high accuracy. The BL core incurs a reasonably small amount of overhead in power, delay, and area.

References

[1]
A. Tang, S. Sethumadhavan, and S. Stolfo, "CLKSCREW: exposing the perils of security-oblivious energy management". USENIX Security Symposium, 2017.
[2]
ARM. Security Technology - Building a Secure System using TrustZone Technology. ARM Technical White Paper, 2009.
[3]
S. Kim, and M. Seok, "Analysis and Optimization of In-Situ Error Detection Techniques in Ultra-Low-Voltage Pipeline," IEEE/ACM International Symposium on Low Power Electronics and Design, 2014, pp. 291--294.
[4]
V. Pallipadi, and A. Starikovskiy, "The on-demand governor." Linux Symposium, 2006, vol. 2, sn, pp. 215--230.
[5]
B. Jeff, "big.LITTLE system architecture from arm: Saving power through heterogeneous multiprocessing and task context migration," ACM/IEEE Design Automation Conference (DAC), 2012
[6]
Intel The Engine for Digital Transformation in the Data Center. http://www.intel.com/content/dam/www/public/us/en/documents/product-briefs/xeon-e 5-brief.pdf. Intel Product Brief.
[7]
QUALCOMM. Snapdragon S4 Processors: System on Chip Solutions for a New Mobile Age. https://www.qualcomm.com/documents/snapdragon-s4-processors-system-chip-solutions-new-mobile-age, Jul 2013.
[8]
I. Anati, et al., "Innovative technology for CPU based attestation and sealing," International workshop on hardware and architectural support for security and privacy (HASP), 2013, vol. 13.
[9]
R. Kumar, V. Kursun, "Reversed temperature-dependent propagation delay characteristics in nanometer CMOS circuits," IEEE Transactions on Circuits and Systems II: Express Briefs. 2006 Oct;53(10):1078--82.
[10]
D. E. Rumelhart, et al., "Learning representations by back-propagating errors," Nature. 1986 Oct; 323(6088):533.
[11]
S. Kim, M. Seok, "Variation-Tolerant Near-threshold Microprocessor Design with Low-Overhead, Within-a-Cycle In-situ Error Detection and Correction Technique," IEEE Journal of Solid-State Circuits, 2015
[12]
S. Kim, et al., "A 450mV Timing-Margin-Free Waveform Sorter based on Body Swapping Error Correction," IEEE Symposium on VLSI Circuits (VLSI), 2016
[13]
S. Kim, et al., "Near-Vt Adaptive Microprocessor and Power-Management-Unit System based on Direct Error Regulation," European Solid-State Circuits Conference (ESSCIRC), 2017
[14]
J. Tschanz, et al., "Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance," IEEE Symposium on VLSI Circuits (VLSI), 2009
[15]
B. Zimmer, et al., "A RISC-V vector processor with tightly-integrated switched-capacitor DC-DC converters in 28nm FDSOI," IEEE Symposium on VLSI Circuits, 2015
[16]
X. Sun, et al., "A Combined All-Digital PLL-Buck Slack Regulation System with Autonomous CCM/DCM Transition Control and 82% Average VoltageMargin Reduction in a 0.6-to-1.0V Cortex-M0 Processor," IEEE International Solid-State Circuits Conference (ISSCC), 2018
[17]
Introducing NVIDA Tegra 4i. URL: http://phx.corporateir.net/External.File?item=UGFyZW50SUQ9MTcyNDc2fENoaWxkSUQ9LTF8VHlwZT0z&t=1

Cited By

View all
  • (2021)IChannelsProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00081(985-998)Online publication date: 14-Jun-2021
  • (2020)Towards malicious exploitation of energy management mechanismsProceedings of the 23rd Conference on Design, Automation and Test in Europe10.5555/3408352.3408590(1043-1048)Online publication date: 9-Mar-2020
  • (2020)Towards Malicious Exploitation of Energy Management Mechanisms2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE48585.2020.9116420(1043-1048)Online publication date: Mar-2020
  • Show More Cited By

Index Terms

  1. Blacklist Core: Machine-Learning Based Dynamic Operating-Performance-Point Blacklisting for Mitigating Power-Management Security Attacks

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '18: Proceedings of the International Symposium on Low Power Electronics and Design
    July 2018
    327 pages
    ISBN:9781450357043
    DOI:10.1145/3218603
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 23 July 2018

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Security
    2. blacklist
    3. operating performance point
    4. power management

    Qualifiers

    • Research-article
    • Research
    • Refereed limited

    Funding Sources

    Conference

    ISLPED '18
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)80
    • Downloads (Last 6 weeks)10
    Reflects downloads up to 30 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)IChannelsProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00081(985-998)Online publication date: 14-Jun-2021
    • (2020)Towards malicious exploitation of energy management mechanismsProceedings of the 23rd Conference on Design, Automation and Test in Europe10.5555/3408352.3408590(1043-1048)Online publication date: 9-Mar-2020
    • (2020)Towards Malicious Exploitation of Energy Management Mechanisms2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE48585.2020.9116420(1043-1048)Online publication date: Mar-2020
    • (2019)Instruction Vulnerability Test and Code Optimization Against DVFS Attack2019 IEEE International Test Conference in Asia (ITC-Asia)10.1109/ITC-Asia.2019.00022(49-54)Online publication date: Sep-2019
    • (2019)iATPG: Instruction-level Automatic Test Program Generation for Vulnerabilities under DVFS attack2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS)10.1109/IOLTS.2019.8854398(287-292)Online publication date: Jul-2019

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media