[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
research-article
Public Access

Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors

Published: 10 August 2016 Publication History

Abstract

It is challenging to manage the thermal behavior of many-core microprocessors while still keeping them running at high performance since the control complexity increases as the core number increases. In this article, a novel hierarchical dynamic thermal management method is proposed to overcome this challenge. The new method employs model predictive control (MPC) with task migration and a DVFS scheme to ensure smooth control behavior and negligible computing performance sacrifice. In order to be scalable to many-core systems, the hierarchical control scheme is designed with two levels. At the lower level, the cores are spatially clustered into blocks, and local task migration is used to match current power distribution with the optimal distribution calculated by MPC. At the upper level, global task migration is used with the unmatched powers from the lower level. A modified iterative minimum cut algorithm is used to assist the task migration decision making if the power number is large at the upper level. Finally, DVFS is applied to regulate the remaining unmatched powers. Experiments show that the new method outperforms existing methods and is very scalable to manage many-core microprocessors with small performance degradation.

References

[1]
Raid Ayoub and Tajana Rosing. 2009. Predict and act: Dynamic thermal management for multi-core processor. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED’09). 99--104.
[2]
Andrea Bartolini, Matteo Cacciari, Andrea Tilli, and Luca Benini. 2013. Thermal and energy management of high-performance multicores: Distributed and self-calibrating model-predictive controller. IEEE Transactions on Parallel and Distributed Systems 24, 1 (January 2013), 170--183.
[3]
David Brooks, Robert Dick, Russ Joseph, and Li Shang. 2007. Power, thermal, and reliability modeling in nanometer-scale microprocessors. IEEE Micro 27, 3 (May--June 2007), 49--62.
[4]
David Brooks and Margaret Martonosi. 2001. Dynamic thermal management for high-performance microprocessors. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA’01). 171--182.
[5]
David Brooks, Vivek Tiwari, and Margaret Martonosi. 2000. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the International Symposium on Computer Architecture (ISCA’00). 83--94.
[6]
Thidapat Chantem, Sharon Hu, and Robert Dick. 2011. Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19, 10 (October 2011), 1884--1897.
[7]
Jason Cong and Bo Yuan. 2012. Energy-efficient scheduling on heterogeneous multi-core architectures. In Proc. Int. Symp. on Low Power Electronics and Design (ISLPED’12). 345--350.
[8]
David Cuesta, Jose Ayala, Jose Hidalgo, David Atienza, Andrea Acquaviva, and Enrico Macii. 2010. Adaptive task migration policies for thermal control in MPSoCs. In Proceedings of the IEEE Annual Symposium on VLSI. 110--115.
[9]
James Donald and Margaret Martonosi. 2006. Techniques for multicore thermal management: Classification and new exploration. In Proceedings of the International Symposium on Computer Architecture (ISCA’06). 78--88.
[10]
Shantanu Dutt and Wenyong Deng. 1996. A probability-based approach to VLSI circuit partitioning. In Proc. Design Automation Conf. (DAC’96). ACM, 100--105.
[11]
Thomas Ebi, Mohammad Abdullah Al Faruque, and Jörg Henkel. 2009. TAPE: Thermal-aware agent-based power economy for multi/many-core architectures. In Proceedings of the International Symposium onComputer Aided Design (ICCAD’09). 302--309.
[12]
Charles Fidducia and Robert Mattheyses. 1982. A linear-time heuristic for improving network partitions. In Proceedings of the Design Automation Conference (DAC’92). 175--181.
[13]
Yang Ge, Parth Malani, and Qinru Qiu. 2010. Distributed task migration for thermal management in many-core systems. In Proceedings of the Design Automation Conference (DAC’10). 579--584.
[14]
Vinay Hanumaiah and Sarma Vrudhula. 2014. Energy-efficient operation of multicore processors by DVFS, task migration, and active cooling. IEEE Transactions on Computers 63, 2 (February 2014), 349--360.
[15]
Vinay Hanumaiah, Sarma Vrudhula, and Karam Chatha. 2011. Performance optimal online DVFS and task migration techniques for thermally constrained multi-core processors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 30, 11 (November 2011), 1677--1690.
[16]
John L. Henning. 2000. SPEC CPU 2000: Measuring CPU performance in the new millennium. IEEE Computer 1, 7 (July 2000), 28--35.
[17]
Wei Huang, Shougata Ghosh, Siva Velusamy, Karthik Sankaranarayanan, Kevin Skadron, and Mircea R. Stan. 2006. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14, 5 (May 2006), 501--513.
[18]
Wei Huang, Karthick Rajamani, Mircea Stan, and Kevin Skadron. 2011. Scaling with design constraints: Predicting the future of big chips. IEEE Micro 31, 4 (July--August 2011), 16--29.
[19]
Ramkumar Jayaseelan and Tulika Mitra. 2009. A hybrid local-global approach for multi-core thermal management. In Proceedings of the International Symposium on Computer Aided Design (ICCAD’09). 314--320.
[20]
Michael Kadin, Sherief Reda, and Augustus Uht. 2009. Central versus distributed dynamic thermal management for multi-core processors: Which one is better? In Proceedings of the IEEE/ACM International Great Lakes Symposium on VLSI (GLSVLSI’09). 137--140.
[21]
Heba Khdr, Santiago Pagani, Muhammad Shafique, and Jorg Henkel. 2015. Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips. In Proceedings of the Design Automation Conference (DAC’15). 1--6.
[22]
Guanglei Liu, Ming Fan, and Gang Quan. 2012. Neighbor-aware dynamic thermal management for multi-core platform. In Proceedings of the European Design and Test Conference (DATE’12). 187--192.
[23]
Jian Ma, Hai Wang, Sheldon Tan, Chi Zhang, and He Tang. 2014. Hybrid dynamic thermal management method with model predictive control. In Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems.
[24]
Almir Mutapcic, Stephen Boyd, Srinivasan Murali, David Atienza, Giovanni De Micheli, and Rajesh Gupta. 2009. Processor speed control with thermal constraints. IEEE Transactions on Circuits and Systems I: Regular Papers 56, 9 (September 2009), 1994--2007.
[25]
Thannirmalai Somu Muthukaruppan, Mihai Pricopi, Vanchinathan Venkataramani, Tulika Mitra, and Sanjay Vishin. 2013. Hierarchical power management for asymmetric multi-core in dark silicon era. In Proceedings of the Design Automation Conference (DAC’13). 1--9.
[26]
Michael Powell, Mohamed Gomaa, and T. N. Vijaykumar. 2004. Heat-and-run: Leveraging SMT and CMP to manage power density through the operating system. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’04). 260--270.
[27]
Muhammad Shafique, Shelly Garg, Jorg Henkel, and Dinan Marculescu. 2014. The EDA challenges in the dark silicon era. In Proc. Design Automation Conf. (DAC’14). 1--6.
[28]
Kevin Skadron, Mircea Stan, Wei Huang, Siva Velusamy, Karthik Sankaranarayanan, and David Tarjan. 2003. Temperature-aware microarchitecture. In Proceedings of the International Symposium on Computer Architecture (ISCA’03). 2--13.
[29]
Cheng Tan, Thannirmalai Muthukaruppan, Tulika Mitra, and Lei Ju. 2015. Approximation-aware scheduling on heterogeneous multi-core architectures. In Proceedings of the Asia South Pacific Design Automation Conference (ASP-DAC’15). 618--623.
[30]
Michael Taylor. 2013. A landscape of the new dark silicon design regime. IEEE Micro 33, 5 (October 2013), 8--19.
[31]
Yefu Wang, Kai Ma, and Xiaorui Wang. 2009. Temperature-constrained power control for chip multiprocessors with online model estimation. In Proceedings of the International Symposium on Computer Architecture (ISCA’09). 314--324.
[32]
Francesco Zanini, David Atienza, Luca Benini, and Giovanni De Micheli. 2009. Multicore thermal management with model predictive control. In Proceedings of the 19th European Conference on Circuit Theory and Design. IEEE Press, 90--95.

Cited By

View all
  • (2024)A Stepwise Integration Separation of Variables Solver for Full-Chip Thermal Uncertainty AnalysisIEEE Transactions on Components, Packaging and Manufacturing Technology10.1109/TCPMT.2024.337410714:4(630-640)Online publication date: Apr-2024
  • (2024)Numerical evaluation of doubly clamped self-adaptive fins acting as vortex generators inside micro heat sinks (MHS)International Journal of Heat and Mass Transfer10.1016/j.ijheatmasstransfer.2023.124931220(124931)Online publication date: Mar-2024
  • (2023)Runtime Resource Management with Multiple-Step-Ahead Workload PredictionACM Transactions on Embedded Computing Systems10.1145/360521322:4(1-34)Online publication date: 20-Jun-2023
  • Show More Cited By

Index Terms

  1. Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 22, Issue 1
    January 2017
    463 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2948199
    • Editor:
    • Naehyuck Chang
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 10 August 2016
    Accepted: 01 February 2016
    Revised: 01 February 2016
    Received: 01 August 2015
    Published in TODAES Volume 22, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. DVFS
    2. Dynamic thermal management
    3. many-core microprocessor
    4. model predictive control
    5. task migration

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)132
    • Downloads (Last 6 weeks)13
    Reflects downloads up to 03 Jan 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)A Stepwise Integration Separation of Variables Solver for Full-Chip Thermal Uncertainty AnalysisIEEE Transactions on Components, Packaging and Manufacturing Technology10.1109/TCPMT.2024.337410714:4(630-640)Online publication date: Apr-2024
    • (2024)Numerical evaluation of doubly clamped self-adaptive fins acting as vortex generators inside micro heat sinks (MHS)International Journal of Heat and Mass Transfer10.1016/j.ijheatmasstransfer.2023.124931220(124931)Online publication date: Mar-2024
    • (2023)Runtime Resource Management with Multiple-Step-Ahead Workload PredictionACM Transactions on Embedded Computing Systems10.1145/360521322:4(1-34)Online publication date: 20-Jun-2023
    • (2023)Fast Full-Chip Parametric Thermal Analysis Based on Enhanced Physics Enforced Neural Networks2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323696(1-8)Online publication date: 28-Oct-2023
    • (2022)Reinforcement Learning-Based Joint Reliability and Performance Optimization for Hybrid-Cache Computing ServersIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.315883241:12(5596-5609)Online publication date: Dec-2022
    • (2022)Full-Chip Power Density and Thermal Map Characterization for Commercial Microprocessors Under Heat Sink CoolingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.308808141:5(1453-1466)Online publication date: May-2022
    • (2022)COCKTAIL: Multicore Co-Optimization Framework With Proactive Reliability ManagementIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.305895941:2(386-399)Online publication date: Feb-2022
    • (2022)Non-intrusive Monitoring Framework for NoC-based Many-Cores2022 XII Brazilian Symposium on Computing Systems Engineering (SBESC)10.1109/SBESC56799.2022.9965177(1-7)Online publication date: 21-Nov-2022
    • (2021)Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal ImagingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.300754140:4(694-707)Online publication date: Apr-2021
    • (2021)PEW: Prediction-Based Early Dark Cores Wake-up Using Online Ridge Regression for Many-Core SystemsIEEE Access10.1109/ACCESS.2021.31097179(124087-124099)Online publication date: 2021
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Login options

    Full Access

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media