[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/2370816.2370893acmconferencesArticle/Chapter ViewAbstractPublication PagespactConference Proceedingsconference-collections
poster

Application-to-core mapping policies to reduce memory interference in multi-core systems

Published: 19 September 2012 Publication History

Abstract

How applications running on a many-core system are mapped to cores largely determines the interference between these applications in critical shared resources. This paper proposes application-to-core mapping policies to improve system performance by reducing inter-application interference in the on-chip network and memory controllers. The major new ideas of our policies are to: 1) map network-latency-sensitive applications to separate parts of the network from network-bandwidth-intensive applications such that the former can make fast progress without heavy interference from the latter, 2) map those applications that benefit more from being closer to the memory controllers close to these resources. Our evaluations show that both ideas significantly improve system throughput, fairness and interconnect power efficiency.

References

[1]
Linux source code (version 2.6.39). http://www.kernel.org.
[2]
M. Awasthi et al. Handling the problems and opportunities posed by multiple on-chip memory controllers. In PACT-19, 2010.
[3]
R. Das et al. Application-Aware Prioritization Mechanisms for On-Chip Networks. In MICRO-42, 2009.
[4]
R. Das et al. Aergia: Exploiting packet latency slack in on-chip networks. In ISCA-37, 2010.
[5]
R. Das et al. Application-to-core mapping policies to reduce interference in on-chip networks. In Carnegie Mellon SAFARI Technical Report No. 2011-001, 2011.
[6]
E. Ebrahimi et al. Fairness via source throttling: A configurable and high-performance fairness substrate for multi-core memory systems. In ASPLOS-XV, 2010.
[7]
B. Grot et al. Preemptive Virtual Clock: A Flexible, Efficient, and Cost-effective QOS Scheme for Networks-on-a-Chip. In MICRO-42, 2009.
[8]
B. Grot et al. A QoS-Enabled On-Die Interconnect Fabric for Kilo-Node Chips. IEEE Micro, May/June 2012.
[9]
J. Hu et al. Energy-aware mapping for tile-based NoC architectures under performance constraints. In ASPDAC, 2003.
[10]
S. Jiang et al. CLOCK-Pro: an effective improvement of the CLOCK replacement. In USENIX, 2005.
[11]
Y. Kim et al. ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers. In HPCA-16, 2010.
[12]
Y. Kim et al. Thread cluster memory scheduling: Exploiting differences in memory access behavior. In MICRO-43, 2010.
[13]
T. Lei and S. Kumar. A two-step genetic algorithm for mapping task graphs to a network on chip architecture. In Euromicro, 2003.
[14]
S. Murali and G. D. Micheli. Bandwidth-constrained mapping of cores onto NoC architectures. In DATE, 2004.
[15]
S. Muralidhara et al. Reducing memory interference in multi-core systems via application-aware memory channel partitioning. In MICRO-44, 2011.
[16]
G. Nychis et al. Next generation on-chip networks: What kind of congestion control do we need? In HotNets-9, 2010.

Cited By

View all
  • (2020)Thermal-cycling-aware dynamic reliability management in many-core system-on-chipProceedings of the 23rd Conference on Design, Automation and Test in Europe10.5555/3408352.3408632(1229-1234)Online publication date: 9-Mar-2020
  • (2020)Thermal-Cycling-aware Dynamic Reliability Management in Many-Core System-on-Chip2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE48585.2020.9116325(1229-1234)Online publication date: Mar-2020
  • (2019)Computing with Near DataAbstracts of the 2019 SIGMETRICS/Performance Joint International Conference on Measurement and Modeling of Computer Systems10.1145/3309697.3331487(27-28)Online publication date: 20-Jun-2019
  • Show More Cited By

Index Terms

  1. Application-to-core mapping policies to reduce memory interference in multi-core systems

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    PACT '12: Proceedings of the 21st international conference on Parallel architectures and compilation techniques
    September 2012
    512 pages
    ISBN:9781450311823
    DOI:10.1145/2370816

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 19 September 2012

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. interconnect
    2. memory
    3. multicore
    4. scheduling

    Qualifiers

    • Poster

    Conference

    PACT '12
    Sponsor:
    • IFIP WG 10.3
    • SIGARCH
    • IEEE CS TCPP
    • IEEE CS TCAA

    Acceptance Rates

    Overall Acceptance Rate 121 of 471 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)13
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 14 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2020)Thermal-cycling-aware dynamic reliability management in many-core system-on-chipProceedings of the 23rd Conference on Design, Automation and Test in Europe10.5555/3408352.3408632(1229-1234)Online publication date: 9-Mar-2020
    • (2020)Thermal-Cycling-aware Dynamic Reliability Management in Many-Core System-on-Chip2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE48585.2020.9116325(1229-1234)Online publication date: Mar-2020
    • (2019)Computing with Near DataAbstracts of the 2019 SIGMETRICS/Performance Joint International Conference on Measurement and Modeling of Computer Systems10.1145/3309697.3331487(27-28)Online publication date: 20-Jun-2019
    • (2018)Scheduling and Tuning for Low Energy in Heterogeneous and Configurable Multicore SystemsComputers10.3390/computers70200257:2(25)Online publication date: 14-Apr-2018
    • (2018)Computing with Near DataProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/32873212:3(1-30)Online publication date: 21-Dec-2018
    • (2018)Efficient and Fair Multi-programming in GPUs via Effective Bandwidth Management2018 IEEE International Symposium on High Performance Computer Architecture (HPCA)10.1109/HPCA.2018.00030(247-258)Online publication date: Feb-2018
    • (2017)Data movement aware computation partitioningProceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3123939.3123954(730-744)Online publication date: 14-Oct-2017
    • (2017)A Hardware Approach to Fairly Balance the Inter-Thread Interference in Shared CachesIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2017.271377828:11(3021-3032)Online publication date: 1-Nov-2017
    • (2017)Perf&FairIEEE Transactions on Computers10.1109/TC.2016.262097766:5(905-911)Online publication date: 1-May-2017
    • (2017)Application Clustering Policies to Address System Fairness with Intel’s Cache Allocation Technology2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT)10.1109/PACT.2017.19(194-205)Online publication date: Sep-2017
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media