[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/2000064.2000096acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

An abacus turn model for time/space-efficient reconfigurable routing

Published: 04 June 2011 Publication History

Abstract

Applications' traffic tends to be bursty and the location of hot-spot nodes moves as time goes by. This will significantly aggregate the blocking problem of wormhole-routed Network-on-Chip (NoC). Most of state-of-the-art traffic balancing solutions are based on fully adaptive routing algorithms which may introduce large time/space overhead to routers. Partially adaptive routing algorithms, on the other hand, are time/space efficient, but lack of even or sufficient routing adaptiveness. Reconfigurable routing algorithms could provide on-demand routing adaptiveness for reducing blocking, but most of them are off-line solutions due to the lack of a practical model to dynamically generate deadlock-free routing algorithms.
In this paper, we propose the abacus-turn-model (AbTM) for designing time/space-efficient reconfigurable wormhole routing algorithms. Unlike the original turn model, AbTM exploits dynamic communication patterns in applications to reduce the routing latency and chip area requirements. We apply forbidden turns dynamically to preserve deadlock-free operations. Our AbTM routing architecture has two distinct advantages: First, the AbTM leads to a new router architecture without adding virtual channels and routing table. This reconfigurable architecture updates the routing path once the communication pattern changes, and always provides full adaptiveness to hot-spot directions to reduce network blocking. Secondly, the reconfiguration scheme has a good scalability because all operations are carried out between neighbors. We demonstrate these advantages through extensive simulation experiments. The experimental results are indeed encouraging and prove its applicability with scalable performance in large-scale NoC applications.

Supplementary Material

JPG File (isca_6b_1.jpg)
MP4 File (isca_6b_1.mp4)

References

[1]
S.R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar. An 80-tile sub-100-w teraflops processor in 65-nm cmos. IEEE Journal of Solid-State Circuits, 43(1):29--41, 2008.
[2]
S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce, V. Leung, J. MacKay, M. Reif, Liewei Bao, J. Brown, M. Mattina, Chyi-Chang Miao, C. Ramey, D. Wentzlaff, W. Anderson, E. Berger, N. Fairbanks, D. Khan, F. Montenegro, J. Stickney, and J. Zook. Tile64 - processor: A 64-core soc with mesh interconnect. In Digest of Technical Papers. IEEE International Solid-State Circuits Conference, pages 88,89,598, 2008.
[3]
W.J. Dally and B. Towles. Principles and practices of interconnection networks. Morgan Kaufmann, 2004.
[4]
W.J. Dally and C.L. Seitz. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Transactions on Computers, C-36(5):547--553, May 1987.
[5]
N. Barrow-Williams, C. Fensch, and S. Moore. A communication characterisation of splash-2 and parsec. In IEEE International Symposium on Workload Characterization, pages 86--97, 2009.
[6]
Jongman Kim, Dongkook Park, T. Theocharides, N. Vijaykrishnan, and C.R. Das. A low latency router supporting adaptivity for on-chip interconnects. In Proceedings of Design Automation Conference, pages 559--564, 2005.
[7]
Arjun Singh, W.J. Dally, A.K. Gupta, and B. Towles. Goal: a load-balanced adaptive routing algorithm for torus networks. In Proceedings of International Symposium on Computer Architecture, pages 194--205, 2003.
[8]
J.W. van den Brand, C. Ciordas, K. Goossens, and T. Basten. Congestion-controlled best-effort communication for networks-on-chip. In Design, Automation Test in Europe Conference Exhibition, 2007., pages 1--6, 2007.
[9]
J. Duato, I. Johnson, J. Flich, F. Naven, P. Garcia, and T. Nachiondo. A new scalable and cost-effective congestion management strategy for lossless multistage interconnection networks. In Proceedings of International Symposium on High-Performance Computer Architecture, pages 108--119, 2005.
[10]
P. Gratz, B. Grot, and S.W. Keckler. Regional congestion awareness for load balance in networks-on-chip. In Proceedings of IEEE International Symposium on High Performance Computer Architecture, pages 203--214, 2008.
[11]
D. Park, R. Das, C. Nicopoulos, Jongman Kim, N. Vijaykrishnan, R. Iyer, and C.R. Das. Design of a dynamic priority-based fast path architecture for on-chip interconnects. In Proceedings of IEEE Symposium on High-Performance Interconnects, pages 15--20, 2007.
[12]
W.J. Dally. Virtual-channel flow control. IEEE Transactions on Parallel and Distributed Systems, 3(2):194--205, March 1992.
[13]
S. A. Felperin, L. Gravano, G. D. Pifarre, and J. L. C. Sanz. Fully-adaptive routing: packet switching performance and wormhole algorithms. In Proceedings of ACM/IEEE Conference on Supercomputing, pages 654--663, 1991.
[14]
W.J. Dally and H. Aoki. Deadlock-free adaptive routing in multicomputer networks using virtual channels. IEEE Transactions on Parallel and Distributed Systems, 4(4):466--475, April 1993.
[15]
J. Duato. A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Transactions on Parallel and Distributed Systems, 4(12):1320--1331, December 1993.
[16]
Y.M. Boura and C.R. Das. Efficient fully adaptive wormhole routing in n-dimensional meshes. In Proceedings of International Conference on Distributed Computing Systems, pages 589--596, June 1994.
[17]
J.H. Upadhyay, V. Varavithya, and P. Mohapatra. Efficient and balanced adaptive routing in two-dimensional meshes. In Proceedings of IEEE Symposium on High-Performance Computer Architecture, 1995.
[18]
J. Flich, S. Rodrigo, and J. Duato. An efficient implementation of distributed routing algorithms for nocs. In Proceedings of ACM/IEEE International Symposium on Networks-on-Chip, pages 87--96, 2008.
[19]
L.-S. Peh and W.J. Dally. A delay model and speculative architecture for pipelined routers. In Proceedings of International Symposium on High-Performance Computer Architecture, 2001.
[20]
E.S. Shin, III Mooney, V.J., and G.F. Riley. Round-robin arbiter design and generation. In Proceedings of International Symposium on System Synthesis, 2002.
[21]
C.J. Glass and L.M. Ni. The turn model for adaptive routing. In Proceedings of International Symposium on Computer Architecture, 1992.
[22]
G.M. Chiu. The odd-even turn model for adaptive routing. IEEE Transactions on Parallel and Distributed Systems, 11(7):729--738, July 2000.
[23]
L. Zhang, Y. Han, Q. Xu, X. Li, and H. Li. On topology reconfiguration for defect-tolerant noc-based homogeneous manycore systems. IEEE Transactions on Very Large Scale Integration(VLSI) Systems, 17(9):1173--1186, 2009.
[24]
T.L. Rodeheffer and M.D. Schroeder. Automatic reconfiguration in autonet. In Proceedings of ACM symposium on operating systems principles, pages 183--197, 1991.
[25]
O. Lysne, J.M. Montanana, J. Flich, J. Duato, T.M. Pinkston, and T. Skeie. An efficient and deadlock-free network reconfiguration protocol. IEEE Transactions on Computers, 57(6):762--779, 2008.
[26]
D. Avresky and N. Natchev. Dynamic reconfiguration in computer clusters with irregular topologies in the presence of multiple node and link failures. IEEE Transactions on Computers, 54(5):603--615, May 2005.
[27]
J. Wu. A fault-tolerant and deadlock-free routing protocol in 2d meshes based on odd-even turn model. IEEE Transactions on Computers, 52(9):1154--1169, 2003.
[28]
Z. Zhang, A. Greiner, and S. Taktak. A reconfigurable routing algorithm for a fault-tolerant 2d-mesh network-on-chip. In Proceedings of ACM/IEEE Design Automation Conference, pages 441--446, 2008.
[29]
B. Fu, Y. Han, H. Li, and X. Li. A new multiple-round dor routing for 2d network-on-chip meshes. In Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, pages 276--281, 2009.
[30]
W.J. Dally and C.L. Seitz. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Transactions on Computers, C-36(5):547--553, May 1987.
[31]
A. Mejia, J. Flich, J. Duato, S.-A. Reinemo, and T. Skeie. Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori. In Proceedings of International Symposium on Parallel and Distributed Processing, 2006.
[32]
M. Palesi, R. Holsmark, S. Kumar, and V. Catania. Application specific routing algorithms for networks on chip. IEEE Transactions on Parallel and Distributed Systems, 20(3):316--330, 2009.
[33]
Michel A. Kinsy, Myong Hyon Cho, Tina Wen, Edward Suh, Marten van Dijk, and Srinivas Devadas. Application-aware deadlock-free oblivious routing. In Proceedings of international symposium on Computer architecture, pages 208--219, New York, NY, USA, 2009. ACM.
[34]
J. Cong, C. Liu, and G. Reinman. Aces: Application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip. In Proceedings of ACM/IEEE Design Automation Conference, pages 443--448, 2010.
[35]
A. Singh, W.J. Dally, A.K. Gupta, and B. Towles. Adaptive channel queue routing on k-ary n-cubes. In Proceedings of ACM symposium on Parallelism in Algorithms and Architectures, pages 11--19, 2004.
[36]
D. Seo, A. Ali, W. Lim, N. Rafique, and M. Thottethodi. Near-optimal worst-case throughput routing for two-dimensional mesh networks. In Proceedings of international symposium on Computer Architecture, pages 432--443, 2005.
[37]
N. Agarwal, L.S. Peh, and N. Jha. Garnet: A detailed interconnection network model inside a full-system simulation framework. Technical report, TR CE-P08-001, Princeton University, 2007.
[38]
M.M.K. Martin, D.J. Sorin, B.M. Beckmann, M.R. Marty, M. Xu, A.R. Alameldeen, K.E. Moore, M.D. Hill, and D.A. Wood. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. ACM SIGARCH Computer Architecture News, 33(4):92--99, 2005.
[39]
P.S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, J. Hogberg, F. Larsson, A. Moestedt, and B. Werner. Simics: A full system simulation platform. Computer, 35(2):50--58, February 2002.
[40]
N. Agarwal, Li-Shiuan Peh, and N.K. Jha. In-network snoop ordering (inso): Snoopy coherence on unordered interconnects. In Proceedings of International Symposium on High Performance Computer Architecture, pages 67--78, 2009.
[41]
S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, and A. Gupta. The splash-2 programs: characterization and methodological considerations. In Proceedings of International Symposium on Computer Architecture, pages 24--36, June 1995.
[42]
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The parsec benchmark suite: characterization and architectural implications. In Proceedings of international conference on Parallel architectures and compilation techniques, pages 72--81. ACM, 2008.
[43]
A.B. Kahng, B. Lin, K. Samadi, and R.S. Ramanujam. Trace-driven optimization of networks-on-chip configurations. In Proceedings of ACM/IEEE Design Automation Conference (DAC), pages 437--442, 2010.
[44]
S. Rodrigo, J. Flich, A. Roca, S. Medardoni, D. Bertozzi, J. Camacho, F. Silla, and J. Duato. Addressing manufacturing challenges with cost-efficient fault tolerant routing. In Proceedings of International Symposium on Networks-on-Chip, pages 25--32, May 2010.
[45]
P.-J. Chuang and N.-F. Tzeng. An efficient submesh allocation strategy for mesh computer systems. In Proceedings of International Conference on Distributed Computing Systems, pages 256--263, May 1991.

Cited By

View all
  • (2023)LARE: A Linear Approximate Reinforcement Learning Based Adaptive Routing for Network-on-Chips2023 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS46773.2023.10181382(1-5)Online publication date: 21-May-2023
  • (2023)FPGA Implementations and Performance Analysis of Different Routing Algorithms for the 2D-Mesh Network-On-ChipRecent Trends in Intelligence Enabled Research10.1007/978-981-99-1472-2_15(173-186)Online publication date: 23-Jun-2023
  • (2022)MUA-Router: Maximizing the Utility-of-Allocation for On-chip Pipelining RoutersACM Transactions on Architecture and Code Optimization10.1145/351902719:3(1-23)Online publication date: 4-May-2022
  • Show More Cited By

Index Terms

  1. An abacus turn model for time/space-efficient reconfigurable routing

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISCA '11: Proceedings of the 38th annual international symposium on Computer architecture
    June 2011
    488 pages
    ISBN:9781450304726
    DOI:10.1145/2000064
    • cover image ACM SIGARCH Computer Architecture News
      ACM SIGARCH Computer Architecture News  Volume 39, Issue 3
      ISCA '11
      June 2011
      462 pages
      ISSN:0163-5964
      DOI:10.1145/2024723
      Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 04 June 2011

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. network-on-chip (noc)
    2. reconfigurable routing

    Qualifiers

    • Research-article

    Conference

    ISCA '11
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 543 of 3,203 submissions, 17%

    Upcoming Conference

    ISCA '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)16
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 02 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)LARE: A Linear Approximate Reinforcement Learning Based Adaptive Routing for Network-on-Chips2023 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS46773.2023.10181382(1-5)Online publication date: 21-May-2023
    • (2023)FPGA Implementations and Performance Analysis of Different Routing Algorithms for the 2D-Mesh Network-On-ChipRecent Trends in Intelligence Enabled Research10.1007/978-981-99-1472-2_15(173-186)Online publication date: 23-Jun-2023
    • (2022)MUA-Router: Maximizing the Utility-of-Allocation for On-chip Pipelining RoutersACM Transactions on Architecture and Code Optimization10.1145/351902719:3(1-23)Online publication date: 4-May-2022
    • (2022)Arc Model and DDG: Deadlock Avoidance and Detection in Torus NoCIEEE Embedded Systems Letters10.1109/LES.2021.311335514:2(67-70)Online publication date: Jun-2022
    • (2019)BARANACM Transactions on Parallel Computing10.1145/32940495:3(1-29)Online publication date: 22-Jan-2019
    • (2018)Understanding turn models for adaptive routing: The modular approach2018 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2018.8342245(1477-1480)Online publication date: Mar-2018
    • (2018)Traffic-aware reconfigurable architecture for fault-tolerant 2D mesh NoCsACM SIGBED Review10.1145/3267419.326742315:3(25-30)Online publication date: 15-Aug-2018
    • (2018)3D MAX: A Maximally Adaptive Routing Method for VC-less 3D Mesh-based Networks-on-Chip2018 11th International Workshop on Network on Chip Architectures (NoCArc)10.1109/NOCARC.2018.8541255(1-6)Online publication date: Oct-2018
    • (2018)Fault tolerance on-chip: a reliable computing paradigm using self-test, self-diagnosis, and self-repair (3S) approachScience China Information Sciences10.1007/s11432-017-9290-461:11Online publication date: 24-May-2018
    • (2017)On-Chip Networks, Second EditionSynthesis Lectures on Computer Architecture10.2200/S00772ED1V01Y201704CAC04012:3(1-210)Online publication date: 17-Jun-2017
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media