[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
research-article
Open access

Accelerating In-Memory Database Selections Using Latency Masking Hardware Threads

Published: 09 April 2019 Publication History

Abstract

Inexpensive DRAMs have created new opportunities for in-memory data analytics. However, the major bottleneck in such systems is high memory access latency. Traditionally, this problem is solved with large cache hierarchies that only benefit regular applications. Alternatively, many data-intensive applications exhibit irregular behavior. Hardware multithreading can better cope with high latency seen in such applications. This article implements a multithreaded prototype (MTP) on FPGAs for the relational selection operator that exhibits control flow irregularity. On a standard TPC-H query evaluation, MTP achieves a bandwidth utilization of 83%, while the CPU and the GPU implementations achieve 61% and 64%, respectively. Besides being bandwidth efficient, MTP is also 14.2× and 4.2× more power efficient than CPU and GPU, respectively.

References

[1]
NETEZZA. 2014. http://www.ibm.com/software/data/netezza/.
[2]
Nvidia. 2016. https://www.nvidia.com/en-us/geforce/products/10series/titan-x-pascal/.
[3]
Peloton. 2016. http://pelotondb.io/.
[4]
Tor M. Aamodt, Paul Chow, Per Hammarlund, Hong Wang, and John P. Shen. 2004. Hardware support for prescient instruction prefetch. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’04). IEEE Computer Society, Washington, DC, 84.
[5]
Ildar Absalyamov, Prerna Budhkar, Skyler Windh, Robert J. Halstead, Walid A. Najjar, and Vassilis J. Tsotras. 2016. FPGA-accelerated group-by aggregation using synchronizing caches. In Proceedings of the International Workshop on Data Management on New Hardware (DaMoN’16). ACM, Article 11, 9 pages.
[6]
Sandeep R. Agrawal, Sam Idicula, Arun Raghavan, Evangelos Vlachos, Venkatraman Govindaraju, Venkatanathan Varadarajan, Cagri Balkesen, Georgios Giannikis, Charlie Roth, Nipun Agarwal, and Eric Sedlar. 2017. A many-core architecture for in-memory data processing. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO’17). New York, NY, 245--258.
[7]
Gail Alverson, Robert Alverson, David Callahan, Brian Koblenz, Allan Porterfield, and Burton Smith. 1992. Exploiting heterogeneous parallelism on a multithreaded multiprocessor. In Proceedings of the International Conference on Supercomputing.
[8]
Robert Alverson, David Callahan, Daniel Cummings, Brian Koblenz, Allan Porterfield, and Burton Smith. 1990. The tera computer system. In Proceedings of the International Conference on Supercomputing. 1--6.
[9]
Baidu. 2016. https://www.nextplatform.com/2016/08/24/baidu-takes-fpga-approach-accelerating-big-sql/.
[10]
David H. Bailey. 1997. Little’s Law and High Performance Computing. Technical Report. In RNR Technical Report.
[11]
Tukora Balázs and Pollack Mihály. 2008. High performance computing on graphics processing units. Pollack Periodica 3, 2 (2008), 27--34.
[12]
Peter Bakkum and Kevin Skadron. 2010. Accelerating SQL database operations on a GPU with CUDA. In Proceedings of the 3rd Workshop on General-Purpose Computation on Graphics Processing Units (GPGPU’10). ACM, New York, NY, 10.
[13]
Cagri Balkesen, Gustavo Alonso, Jens Teubner, and M. Tamer Özsu. 2013. Multi-core, main-memory joins: Sort vs. hash revisited. Proc. VLDB Endowment 7, 1 (2013), 85--96.
[14]
C. Balkesen, J. Teubner, G. Alonso, and M. T. Özsu. 2013. Main-memory hash joins on multi-core CPUs: Tuning to the underlying hardware. In Proceedings of the International Conference on Data Engineering Workshops (ICDE’13). 362--373.
[15]
Ronald Barber, Guy Lohman, Vijayshankar Raman, Richard Sidle, Sam Lightstone, and Berni Schiefer. 2015. In-memory BLU acceleration in IBM’s DB2 and dashDB: Optimized for modern workloads and hardware architectures. In Proceedings of the International Conference on Data Engineering Workshops (ICDE’15). IEEE, 1246--1252.
[16]
Spyros Blanas, Yinan Li, and Jignesh M. Patel. 2011. Design and evaluation of main memory hash join algorithms for multi-core CPUs. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’11). ACM, 37--48.
[17]
Peter A. Boncz, Stefan Manegold, Martin L. Kersten, et al. 1999. Database architecture optimized for the new bottleneck: Memory access. In Proceedings of the International Conference on Very Large Databases (VLDB’99), Vol. 99. 54--65.
[18]
David Broneske, Sebastian Breß, and Gunter Saake. 2015. Database scan variants on modern CPUs: A performance study. In Memory Data Management and Analysis, Arun Jagatheesan, Justin Levandoski, Thomas Neumann, and Andrew Pavlo (Eds.). Springer International Publishing, Cham, Switzerland, 97--111.
[19]
David Broneske, Andreas Meister, and Gunter Saake. 2017. Hardware-sensitive scan operator variants for compiled selection pipelines. In Fachtagung Datenbanksysteme für Business, Technologie und Web (BTW). 403--412.
[20]
Jared Casper and Kunle Olukotun. 2014. Hardware acceleration of database operations. In Proceedings of the International Symposium on Field Programmable Gate Arrays (FPGA’14). 151--160.
[21]
Eric S. Chung, John D. Davis, and Jaewon Lee. 2013. LINQits. In Proceedings of the International Symposium on Computer Architecture (ISCA’13). ACM Press.
[22]
C. Dennl, D. Ziener, and J. Teich. 2013. Acceleration of SQL restrictions and aggregations through FPGA-based dynamic partial reconfiguration. In Proceedings of the IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM’13). 25--28.
[23]
Cristian Diaconu, Craig Freedman, Erik Ismert, Per-Ake Larson, Pravin Mittal, Ryan Stonecipher, Nitin Verma, and Mike Zwilling. 2013. Hekaton: SQL server’s memory-optimized OLTP engine. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’13). ACM, 1243--1254.
[24]
Gregory Frederick Diamos, Haicheng Wu, Ashwin Lele, and Jin Wang. 2012. Efficient Relational Algebra Algorithms and Data Structures for GPU. Technical Report. Georgia Institute of Technology, Atlanta, GA.
[25]
Amr El-Helw, Kenneth A. Ross, Bishwaranjan Bhattacharjee, Christian A. Lang, and George A. Mihaila. 2011. Column-oriented query processing for row stores. In Proceedings of the International Workshop on Data Warehousing and OLAP (DOLAP’11). ACM, New York, NY, 67--74.
[26]
Hadi Esmaeilzadeh, Emily Blem, Renee St. Amant, Karthikeyan Sankaralingam, and Doug Burger. 2011. Dark silicon and the end of multicore scaling. In Proceedings of the International Symposium on Computer Architecture (ISCA’11). ACM, New York, NY, 365--376.
[27]
Yuanwei Fang, Chen Zou, Aaron J. Elmore, and Andrew A. Chien. 2017. UDP: A programmable accelerator for extract-transform-load workloads and more. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO’17). ACM, New York, NY, 55--68.
[28]
Franz Färber, Norman May, Wolfgang Lehner, Philipp Große, Ingo Müller, Hannes Rauhe, and Jonathan Dees. 2012. The SAP HANA database—An architecture overview. IEEE Data Eng. Bull. 35, 1 (2012), 28--33.
[29]
John Feehrer, Sumti Jairath, Paul Loewenstein, Ram Sivaramakrishnan, David Smentek, Sebastian Turullols, and Ali Vahidsafa. 2013. The Oracle SPARC T5 16-core processor scales to eight sockets. IEEE Micro 33, 2 (March 2013), 48--57.
[30]
Ziqiang Feng, Eric Lo, Ben Kao, and Wenjian Xu. 2015. ByteSlice: Pushing the envelope of main memory data processing with a new storage layout. Retrieved from www.comp.polyu.edu.hk.
[31]
Pedram Ghodsnia. 2012. An in-GPU-memory column-oriented database for processing analytical workloads. In Proceedings of the VLDB PhD Workshop. VLDB Endowment, Vol. 1.
[32]
Naga K. Govindaraju, Brandon Lloyd, Wei Wang, Ming Lin, and Dinesh Manocha. 2004. Fast computation of database operations using graphics processors. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’04). ACM, 215--226.
[33]
Boncheol Gu, Andre S. Yoon, Duck-Ho Bae, Insoon Jo, Jinyoung Lee, Jonghyun Yoon, Jeong-Uk Kang, Moonsang Kwon, Chanho Yoon, Sangyeun Cho, Jaeheon Jeong, and Duckhyun Chang. 2016. Biscuit: A framework for near-data processing of big data workloads. SIGARCH Comput. Archit. News 44, 3 (June 2016), 153--165.
[34]
Sebastian Haas et al. 2016. An MPSoC for energy-efficient database query processing. In Proceedings of the Design Automation Conference (DAC’16). ACM, Article 112.
[35]
Robert J. Halstead, Ildar Absalyamov, Walid A. Najjar, and Vassilis J. Tsotras. 2015. FPGA-based multithreading for in-memory hash joins. In Proceedings of the Conference on Innovative Data Systems Research (CIDR’15).
[36]
Bingsheng He, Mian Lu, Ke Yang, Rui Fang, Naga K. Govindaraju, Qiong Luo, and Pedro V Sander. 2009. Relational query coprocessing on graphics processors. ACM Trans. Data. Syst. 34, 4 (2009), 21.
[37]
Joseph M. Hellerstein and Michael Stonebraker. 1993. Predicate migration: Optimizing queries with expensive predicates. SIGMOD 22, 2 (June 1993), 267--276.
[38]
Cray Inc. 2006. Cray XMT. http://www.craysupercomputers.com/downloads/crayxmt/crayxmt_datasheet.pdf.
[39]
Zsolt István, David Sidler, and Gustavo Alonso. 2017. Caribou: Intelligent distributed storage. Proc. VLDB Endow. 10, 11 (Aug. 2017), 1202--1213.
[40]
Chris Jesshope, Mike Lankamp, and Li Zhang. 2009. Evaluating CMPs and their memory architecture. In Proceedings of the International Conference on Architecture of Computing Systems. Springer, 246--257.
[41]
Ryan Johnson, Ippokratis Pandis, Nikos Hardavellas, Anastasia Ailamaki, and Babak Falsafi. 2009. Shore-MT: A scalable storage manager for the multicore era. In Proceedings of the International Conference on Extending Database Technology: Advances in Database Technology. ACM, 24--35.
[42]
Ryan Johnson, Vijayshankar Raman, Richard Sidle, and Garret Swart. 2008. Row-wise parallel predicate evaluation. Proc. VLDB Endow. 1, 1 (Aug. 2008), 622--634.
[43]
Sang-Woo Jun, Ming Liu, Sungjin Lee, Jamey Hicks, John Ankcorn, Myron King, Shuotao Xu, and Arvind. 2015. BlueDBM: An appliance for big data analytics. In Proceedings of the International Symposium on Computer Architecture (ISCA’15). ACM, New York, NY, 1--13.
[44]
Fisnik Kastrati and Guido Moerkotte. 2016. Optimization of conjunctive predicates for main memory column stores. Proc. VLDB Endow. 9, 12 (Aug. 2016), 1125--1136.
[45]
Alfons Kemper and Thomas Neumann. 2011. HyPer: A hybrid OLTP 8 OLAP main memory database system based on virtual memory snapshots. In Proceedings of the International Conference on Data Engineering Workshops (ICDE’11). IEEE, 195--206.
[46]
Kickfire. 2014. http://www.teradata.com/.
[47]
Dongkeun Kim, Steve Shih-wei Liao, Perry H. Wang, Juan del Cuvillo, Xinmin Tian, Xiang Zou, Hong Wang, Donald Yeung, Milind Girkar, and John P. Shen. 2004. Physical experimentation with prefetching helper threads on intel’s hyper-threaded processors. In Proceedings of the International Symposium on Code Generation and Optimization (CGO’04). IEEE Computer Society. http://dl.acm.org/citation.cfm?id=977395.977665.
[48]
Onur Kocberber, Babak Falsafi, and Boris Grot. 2015. Asynchronous memory access chaining. Proc. VLDB Endow. 9, 4 (Dec. 2015), 252--263.
[49]
Onur Kocberber, Boris Grot, Javier Picorel, Babak Falsafi, Kevin Lim, and Parthasarathy Ranganathan. 2013. Meet the walkers: Accelerating index traversals for in-memory databases. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO’13). ACM, New York, NY, 468--479.
[50]
Tirthankar Lahiri, Marie-Anne Neimat, and Steve Folkman. 2013. Oracle timesten: An in-memory database for enterprise applications. IEEE Data Eng. Bull. 36, 2 (2013), 6--13. http://dblp.uni-trier.de/db/journals/debu/debu36.html#LahiriNF13.
[51]
Viktor Leis, Peter Boncz, Alfons Kemper, and Thomas Neumann. 2014. Morsel-driven parallelism: A NUMA-aware query evaluation framework for the many-core age. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’14). ACM, 743--754.
[52]
Yinan Li and Jignesh M. Patel. 2013. BitWeaving: Fast scans for main memory data processing. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’13). ACM, 289--300.
[53]
Divya Mahajan, Joon Kyung Kim, Jacob Sacks, Adel Ardalan, Arun Kumar, and Hadi Esmaeilzadeh. 2018. In-RDBMS hardware acceleration of advanced analytics. Proc. VLDB Endow. 11, 11 (July 2018), 1317--1331.
[54]
T. Maruyama. 2017. SPARC64TM XII: Fujitsu’s latest 12 core processor for mission critical servers. In Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS’17). 1--3.
[55]
Duane Merrill and NVIDIA-Labs. 2015. CUDA UnBound (CUB) Library. Retrieved from https://nvlabs.github.io/cub/.
[56]
Rene Mueller, Jens Teubner, and Gustavo Alonso. 2010. Glacier: A query-to-hardware compiler. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’15). ACM, 1159--1162.
[57]
Rene Mueller, Jens Teubner, and Gustavo Alonso. 2009. Streams on wires—A query compiler for FPGAs. PVLDB 2, 1 (2009), 229--240. http://www.vldb.org/pvldb/2/vldb09-622.pdf.
[58]
K. Papadopoulos, K. Stavrou, and P. Trancoso. 2008. HelperCore lt;inf gt;db lt;/inf gt;: Exploiting multicore technology to improve database performance. In Proceedings of the IEEE International Symposium on Parallel and Distributed Processing. 1--11.
[59]
Meikel Poess and Raghunath Othayoth Nambiar. 2008. Energy cost, the key challenge of today’s data centers: A power consumption analysis of TPC-C results. Proc. VLDB Endow. 1, 2 (Aug. 2008), 1229--1240.
[60]
Orestis Polychroniou, Arun Raghavan, and Kenneth A. Ross. 2015. Rethinking SIMD vectorization for in-memory databases. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’15). ACM, 1493--1508.
[61]
Orestis Polychroniou and Kenneth A. Ross. 2014. Vectorized bloom filters for advanced SIMD processors. In Proceedings of the International Workshop on Data Management on New Hardware (DaMoN’14). ACM Press, New York, New York, 1--6.
[62]
Kenneth A. Ross. 2002. Conjunctive selection conditions in main memory. In Proceedings of the Symposium on Principles of Database Systems (PODS’02). New York, NY, 109--120.
[63]
Bogdan Răducanu, Peter Boncz, and Marcin Zukowski. 2013. Micro adaptivity in vectorwise. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’13). ACM, 1231--1242.
[64]
Mohammad Sadoghi, Rija Javed, Naif Tarafdar, Harsh Singh, Rohan Palaniappan, and Hans-Arno Jacobsen. 2012. Multi-query stream processing on FPGAs. In Proceedings of the International Conference on Data Engineering Workshops (ICDE’12). IEEE Computer Society, Washington, DC, 1229--1232.
[65]
Behzad Salami, Gorker Alp Malazgirt, Oriol Arcas-Abella, Arda Yurdakul, and Nehir Sonmez. 2017. AxleDB: A novel programmable query processing platform on FPGA. Microprocessors and Microsystems 51 (2017), 142--164.
[66]
Simone Secchi, Antonino Tumeo, and Oreste Villa. 2012. A bandwidth-optimized multi-core architecture for irregular applications. In Proceedings of the IEEE International Symposium on Cluster Computing and the Grid (CCGrid’12). IEEE, 580--587.
[67]
V. Seshadri, T. Mullins, A. Boroumand, O. Mutlu, P. B. Gibbons, M. A. Kozuch, and T. C. Mowry. 2015. Gather-scatter DRAM: In-DRAM address translation to improve the spatial locality of non-unit strided accesses. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO’15). 267--280.
[68]
Nikita Shamgunov. 2014. The MemSQL in-memory database system. In Proceedings of the International Workshop on In Memory Data Management and Analytics (IMDM@ VLDB).
[69]
D. Sidler, M. Owaida, Z. István, K. Kara, and G. Alonso. 2017. doppioDB: A hardware accelerated database. In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL’17). 1--1.
[70]
Evangelia A. Sitaridi and Kenneth A. Ross. 2013. Optimizing select conditions on GPUs. In Proceedings of the International Workshop on Data Management on New Hardware (DaMoN’13). ACM Press, New York, New York, 1.
[71]
Juliusz Sompolski, Marcin Zukowski, and Peter Boncz. 2011. Vectorization vs. compilation in query execution. In Proceedings of the International Workshop on Data Management on New Hardware (DaMoN’11). ACM, New York, NY, 33--40.
[72]
Bharat Sukhwani, Hong Min, Mathew Thoennes, Parijat Dube, Balakrishna Iyer, Bernard Brezzo, Donna Dillenberger, and Sameh Asaad. 2012. Database analytics acceleration using FPGAs. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT’12). 411--420.
[73]
Jens Teubner and Rene Mueller. 2011. How soccer players would do stream joins. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’11). ACM, 625--636.
[74]
Pınar Tözün, Brian Gold, and Anastasia Ailamaki. 2013. OLTP in wonderland: Where do cache misses come from in major OLTP components? In Proceedings of the International Workshop on Data Management on New Hardware (DaMoN’13). ACM, 8.
[75]
TPC. 2007. TPC-H Benchmark. Retrieved from http://www.tpc.org/tpc_documents_current_versions/pdf/tpc-h_v2.17.3.pdf.
[76]
Antonino Tumeo, Simone Secchi, and Oreste Villa. 2012. Designing next-generation massively multithreaded architectures for irregular applications. Computer 45, 8 (2012), 53--61.
[77]
K. Wadleigh, J. Amelio, K. Collins, and G. Edwards. 2012. Poster: Hybrid breadth first search implementation for hybrid-core computers. In Super Computing C Companion. IEEE, 1355--1355.
[78]
Thomas Willhalm, Nicolae Popovici, Yazan Boshmaf, Hasso Plattner, Alexander Zeier, and Jan Schaffner. 2009. SIMD-scan: Ultra fast in-memory table scan using on-chip vector processing units. Proc. VLDB Endow. 2, 1 (Aug. 2009), 385--394.
[79]
Louis Woods, Zsolt István, and Gustavo Alonso. 2014. Ibex: An intelligent storage engine with support for advanced SQL offloading. Proc. VLDB Endow. 7, 11 (July 2014), 963--974.
[80]
Lisa Wu, Raymond J. Barker, Martha A. Kim, and Kenneth A. Ross. 2013. Navigating big data with high-throughput, energy-efficient data partitioning. SIGARCH Comput. Archit. News 41, 3 (June 2013), 249--260.
[81]
Lisa Wu, Andrea Lottarini, Timothy K. Paine, Martha A. Kim, and Kenneth A. Ross. 2014. Q100: The architecture and design of a database processing unit. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’14). ACM, New York, NY, 255--268.
[82]
Sam Likun Xi, Oreoluwa Babarinsa, Manos Athanassoulis, and Stratos Idreos. 2015. Beyond the wall: Near-data processing for databases. In Proceedings of the International Workshop on Data Management on New Hardware (DaMoN’15). ACM, New York, NY, Article 2, 10 pages.
[83]
Jingren Zhou, John Cieslewicz, Kenneth A. Ross, and Mihir Shah. 2005. Improving database performance on simultaneous multithreading processors. In Proceedings of the International Conference on Very Large Databases (VLDB’05). VLDB Endowment, 49--60. http://dl.acm.org/citation.cfm?id=1083592.1083602.
[84]
Jingren Zhou and Kenneth A. Ross. 2002. Implementing database operations using SIMD instructions. In Proceedings of the ACM SIGMOD International Conference on Management of Data (SIGMOD’02). ACM, 145--156.
[85]
Craig B. Zilles, Joel S. Emer, and Gurindar S. Sohi. 1999. The use of multithreading for exception handling. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO’99). IEEE Computer Society, Washington, DC, 219--229. http://dl.acm.org/citation.cfm?id=320080.320114.
[86]
Marcin Zukowski, Niels Nes, and Peter Boncz. 2008. DSM vs. NSM: CPU performance tradeoffs in block-oriented query processing. In Proceedings of the International Workshop on Data Management on New Hardware (DaMoN’08). ACM, New York, NY, 47--54.
[87]
M. Zukowski, M. van de Wiel, and P. Boncz. 2012. Vectorwise: A vectorized analytical DBMS. In Proceedings of the International Conference on Data Engineering Workshops (ICDE’12). 1349--1350.

Cited By

View all
  • (2022)TaskStream: accelerating task-parallel workloads by recovering program structureProceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3503222.3507706(1-13)Online publication date: 28-Feb-2022
  • (2021)Efficient local locking for massively multithreaded in-memory hash-based operatorsThe VLDB Journal — The International Journal on Very Large Data Bases10.1007/s00778-020-00642-530:3(333-359)Online publication date: 1-May-2021

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Transactions on Architecture and Code Optimization
ACM Transactions on Architecture and Code Optimization  Volume 16, Issue 2
June 2019
317 pages
ISSN:1544-3566
EISSN:1544-3973
DOI:10.1145/3325131
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 09 April 2019
Accepted: 01 January 2019
Revised: 01 January 2019
Received: 01 May 2018
Published in TACO Volume 16, Issue 2

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. FPGA accelerator
  2. Hardware multithreading
  3. database
  4. selection operator

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)143
  • Downloads (Last 6 weeks)3
Reflects downloads up to 12 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2022)TaskStream: accelerating task-parallel workloads by recovering program structureProceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3503222.3507706(1-13)Online publication date: 28-Feb-2022
  • (2021)Efficient local locking for massively multithreaded in-memory hash-based operatorsThe VLDB Journal — The International Journal on Very Large Data Bases10.1007/s00778-020-00642-530:3(333-359)Online publication date: 1-May-2021

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media