[go: up one dir, main page]
More Web Proxy on the site http://driver.im/
Skip to main content

Computer-Aided Design of Power Distribution Networks

  • Chapter
  • First Online:
On-Chip Power Delivery and Management

Abstract

The process of computer-aided design and analysis of on-chip power distribution networks is discussed in this chapter. The necessity for designing and analyzing the integrity of the power supply arises at various stages of the integrated circuit design process, as well as during the verification phase. The design and analysis of power distribution networks, however, poses unique challenges and requires different approaches as compared to the design and analysis of logic circuits.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
£29.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
GBP 19.95
Price includes VAT (United Kingdom)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
GBP 111.50
Price includes VAT (United Kingdom)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
GBP 139.99
Price includes VAT (United Kingdom)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
GBP 199.99
Price includes VAT (United Kingdom)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. A.V. Mezhiba, E.G. Friedman, Power Distribution Networks in High Speed Integrated Circuits (Kluwer Academic, Norwell, 2004)

    Book  Google Scholar 

  2. B. Krauter, L.T. Pileggi, Generating sparse partial inductance matrices with guaranteed stability, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 45–52, Nov 1995

    Google Scholar 

  3. M.W. Beattie, L. Pileggi, Efficient inductance extraction via windowing, in Proceedings of the IEEE Design, Automation, and Test Conference in Europe, pp. 430–436, Mar 2001

    Google Scholar 

  4. D.J. Herrell, B. Beker, Modeling of power distribution systems for high-performance processors. IEEE Trans. Adv. Packag. 22(3), 240–248 (1999)

    Google Scholar 

  5. R. Panda, D. Blaauw, R. Chaudhry, V. Zolotov, B. Young, R. Ramaraju, Model and analysis for combined package and on-chip power grid simulation, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 179–184, Aug 2000

    Google Scholar 

  6. D. Blaauw, R. Panda, R. Chaudhry, Design and analysis of power distribution networks, in Design of High-Performance Microprocessor Circuits, ed. by A.P. Chandrakasan, W.J. Bowhill, F. Fox (IEEE Press, New York, 2001), Chapter 24, pp. 499–522

    Google Scholar 

  7. A. Dharchoudhury, Design and analysis of power distribution networks in PowerPC microprocessors, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 738–743, June 1998

    Google Scholar 

  8. R. Panda, S. Sundareswaran, D. Blaauw, On the interaction of power distribution network with substrate, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 388–393, Aug 2001

    Google Scholar 

  9. J. Kim, W. Lee, Y. Shim, J. Shim, K. Kim, J.S. Pak, J. Kim, Chip-package hierarchical power distribution network modeling and analysis based on a segmentation method. IEEE Trans. Adv. Packag. 33(3), 647–659 (2010)

    Google Scholar 

  10. P. Larsson, Resonance and damping in CMOS circuits with on-chip decoupling capacitance. IEEE Trans. Circuits Syst. I: Fundam. Theory Appl. 45(8), 849–858 (1998)

    Google Scholar 

  11. H.H. Chen, J.S. Neely, Interconnect and circuit modeling techniques for full-chip power supply noise analysis. IEEE Trans. Compon. Packag. Manuf. Technol. Pt. B: Adv. Packag. 21(3), 209–215 (1998)

    Article  Google Scholar 

  12. G. Steele, D. Overhauser, S. Rochel, S.Z. Hussain, Full-chip verification methods for DSM power distribution systems, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 744–749, June 1998

    Google Scholar 

  13. L. Smith, Decoupling capacitor calculations for CMOS circuits, in IEEE Topical Meeting on Electrical Performance of Electronic Packaging, pp. 101–105, Nov 1994

    Google Scholar 

  14. H.H. Chen, S.E. Schuster, On-chip decoupling capacitor optimization for high-performance vlsi design, in Proceedings of the IEEE International Symposium on VLSI Technology, Systems, and Applications, pp. 99–103, May 1995

    Google Scholar 

  15. M.D. Pant, P. Pant, D.S. Wills, On-chip decoupling capacitor optimization using architectural level prediction. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 10(3), 319–326 (2002)

    Google Scholar 

  16. H. Su, S.S. Sapatnekar, S.R. Nassif, Optimal decoupling capacitor sizing and placement for standard cell layout designs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(4), 428–436 (2003)

    Google Scholar 

  17. S. Zhao, K. Roy, C.-K. Koh, Decoupling capacitance allocation and its application to power-supply noise-aware floorplanning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(1), 81–92 (2002)

    Google Scholar 

  18. S. Pant, D. Blaauw, E. Chiprout, Power Grid Physics and Implications for CAD. IEEE Des. Test Comput. 24(3), 246–254 (2007)

    Google Scholar 

  19. N.H.E. Weste, K. Eshraghian, Principles of CMOS VLSI Design (Addison-Wesley, Boston, 1992)

    Google Scholar 

  20. B. Yan, S.X.-D. Tan, G. Chen, L. Wu, Modeling and simulation for on-chip power grid networks by locally dominant Krylov subspace method, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 744–749, Nov 2008

    Google Scholar 

  21. G. Huang, A. Naeemi, T. Zhou, D. O’Connor, A. Muszynski, B. Singh, D. Becker, J. Venuto, J.D. Meindl, Compact physical models for chip and package power and ground distribution networks for gigascale integration (GSI), in Proceedings of the Electronic Components and Technology Conference, pp. 646–651, May 2008

    Google Scholar 

  22. H.H. Chen, D.D. Ling, Power supply noise analysis methodology for deep-submicron VLSI chip design, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 638–643, June 1997

    Google Scholar 

  23. M. Horowitz, R.W. Dutton, Resistance extraction from mask layout data. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2(3), 145–150 (1983)

    Google Scholar 

  24. L. Ladage, R. Leupers, Resistance extraction using a routing algorithm, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 38–42, June 1993

    Google Scholar 

  25. E. Macii, M. Pedram, F. Somenzi, High-level power modeling, estimation, and optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(11), 1061–1079 (1998)

    Google Scholar 

  26. D. Brooks, T. Tiwari, M. Martonosi, Wattch: a framework for architectural-level analysis and optimization, in Proceedings of the ACM International Symposium on Computer Architecture, pp. 83–94, June 2000

    Google Scholar 

  27. A. Gstottner, T. Steinecke, M. Huemer, Activity based high level modeling of dynamic switching currents in digital IC modules, in Proceedings of the International Zurich Symposium on Electromagnetic Compatibility, pp. 598–601, Feb 2006

    Google Scholar 

  28. A. Gstottner, J. Kruppa, M. Huemer, Modeling of dynamic switching currents of digital VLSI IC modules and verification by on-chip measurement, in Proceedings of the International Zurich Symposium on Electromagnetic Compatibility, pp. 1–4, Sept 2007

    Google Scholar 

  29. F.N. Najm, A survey of power estimation techniques in VLSI circuits. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 2(4), 446–455 (1994)

    Google Scholar 

  30. H. Kriplani, F.N. Najm, I.N. Hajj, Pattern independent maximum current estimation in power and ground buses of CMOS VLSI circuits: algorithms, signal correlations, and their resolution. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(8), 998–1012 (1995)

    Google Scholar 

  31. G. Bai, I.N. Hajj, Simultaneous switching noise and resonance analysis of on-chip power distribution network, in Proceedings of the IEEE International Symposium on Quality Electronic Design, pp. 163–168, Mar 2002

    Google Scholar 

  32. L.T. Pillage, R.A. Rohrer, C. Visweswariah, Electronic Circuit and System Simulation Methods (McGraw-Hill, New York, 1994)

    Google Scholar 

  33. G. Golub, C. Van Loan, Matrix Computations (Johns Hopkins University Press, Baltimore, 1989)

    Google Scholar 

  34. H. Li, J. Jain, V. Balakrishnan, C-K. Koh, Efficient analysis of large-scale power grids based on a compact Cholesky factorization, in Proceedings of the IEEE International Symposium on Quality Electronic Design, pp. 627–632, Mar 2007

    Google Scholar 

  35. J.M.S. Silva, J.R. Phillips, L.M. Silveira, Efficient representation and analysis of power grids, in Proceedings of the IEEE/ACM Design Automation and Test in Europe Conference, pp. 420–425, Mar 2008

    Google Scholar 

  36. Y. Zhong, M.D.F. Wong, Efficient second-order iterative methods for IR drop analysis in power grid, in Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 768–773, Jan 2007

    Google Scholar 

  37. Y. Zhong, M.D.F. Wong, Fast block-iterative domain decomposition algorithm for IR drop analysis in large power grid, in Proceedings of the IEEE International Symposium on Quality Electronic Design, pp. 277–283, Mar 2010

    Google Scholar 

  38. L.-R. Zheng, H. Tenhunen, Design and analysis of power integrity in deep submicron system-on-chip circuits. Analog Integr. Circuits Signal Process. 30(1), 15–29 (2002)

    Google Scholar 

  39. L.-R. Zheng, Design, Analysis, and Integration of Mixed-Signal Systems for Signal and Power Integrity, Ph.D. Thesis, Royal Institute of Technology, Stockholm, 2001

    Google Scholar 

  40. M. Zhao, R. Panda, S.S. Sapatnekar, D. Blaauw, Hierarchical analysis of power distribution networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(2), 159–168 (2002)

    Google Scholar 

  41. Z. Zeng, P. Li, Z. Feng, Parallel partitioning based on-chip power distribution network analysis using locality acceleration, in Proceedings of the IEEE International Symposium on Quality Electronic Design, pp. 776–781, Mar 2009

    Google Scholar 

  42. H. Qian, S.R. Nassif, S.S. Sapatnekar, Power grid analysis using random walks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(8), 1204–1224 (2005)

    Google Scholar 

  43. B. Boghrati, S. Sapatnekar, Incremental solution of power grids using random walks, in Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 757–762, Jan 2010

    Google Scholar 

  44. P. Feldmann, R.W. Freund, E. Acar, Power Grid Analysis Using a Flexible Conjugate Gradient Algorithm with Sparsification, Technical Report, Department of Mathematics, University of California, Davis, June 2006

    Google Scholar 

  45. S.R. Nassif, J.N. Kozhaya, Multi-grid methods for power grid simulation, in Proceedings of the IEEE International Symposium on Circuit and Systems, vol. V, pp. 457–460, May 2000

    Google Scholar 

  46. S.R. Nassif, J.N. Kozhaya, Fast power grid simulation, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 156–161, June 2000

    Google Scholar 

  47. J.N. Kozhaya, S.R. Nassif, F.N. Najm, A multigrid-like technique for power grid analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(10), 1148–1160 (2002)

    Article  Google Scholar 

  48. C. Zhuo, J. Hu, M. Zhao, K. Chen, Power grid analysis and optimization using algebraic multigrid. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(4), 738–751 (2008)

    Google Scholar 

  49. H. Su, K. Gala, S.S. Sapatnekar, Fast analysis and optimization of power/ground networks, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 477–480, Nov 2000

    Google Scholar 

  50. A. Odabasioglu, M. Celik, L.T. Pileggi, PRIMA: passive reduced-order interconnect macromodeling algorithm. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(8), 645–654 (1998)

    Google Scholar 

  51. S. Zhao, K. Roy, C.-K. Koh, Estimation of inductive and resistive switching noise on power supply network in deep submicron CMOS circuits, in Proceedings of the IEEE International Conference on Computer Design, pp. 65–72, Oct 2000

    Google Scholar 

  52. S. Zhao, K. Roy, C.-K. Koh, Frequency domain analysis of switching noise on power supply network, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 487–492, Nov 2000

    Google Scholar 

  53. M.D. Pant, P. Pant, D.S. Wills, On-chip decoupling capacitor optimization using architectural level current signature prediction, in Proceedings of the IEEE International ASIC/SOC Conference, pp. 288–292, Sept 2000

    Google Scholar 

  54. M.D. Pant, P. Pant, D.S. Wills, On-chip decoupling capacitor optimization using architectural level prediction, in Proceedings of the IEEE Midwest Symposium on Circuit and Systems, pp. 772–775, Aug 2000

    Google Scholar 

  55. S. Zhao, K. Roy, C.-K. Koh, Decoupling capacitance allocation for power supply noise suppression, in Proceedings of the ACM International Symposium on Physical Design, pp. 66–71, Apr 2001

    Google Scholar 

  56. S. Zhao, K. Roy, C.-K. Koh, Power supply noise aware floorplanning and decoupling capacitance placement, in Proceedings of the IEEE International Conference on VLSI Design, pp. 489–495, Jan 2002

    Google Scholar 

  57. A.R. Conn, R.A. Haring, C. Visweswariah, Noise considerations in circuit optimization, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 220–227, Nov 1998

    Google Scholar 

  58. C. Viswesvariah, R.A. Haring, A.R. Conn, Noise considerations in circuit optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(6), 679–690 (2000)

    Google Scholar 

  59. H. Su, S.S. Sapatnekar, S.R. Nassif, An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts, in Proceedings of the ACM International Symposium on Physical Design, pp. 68–73, Apr 2002

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

P.-Vaisband, I., Jakushokas, R., Popovich, M., Mezhiba, A.V., Köse, S., Friedman, E.G. (2016). Computer-Aided Design of Power Distribution Networks. In: On-Chip Power Delivery and Management. Springer, Cham. https://doi.org/10.1007/978-3-319-29395-0_21

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-29395-0_21

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-29393-6

  • Online ISBN: 978-3-319-29395-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics