FPGAをはじめてみたい 「FPGAという何やら面白いものがあるらしくて、使うとすごい計算やいろいろなデバイス制御ができるらしい。」 と、興味を持って頂ける方はそれなりにいらっしゃるのではないでしょうか? 早速なんらかのHDLなる言語を勉強し、例えば SystemVerilog を少し勉強すれば下記のようなプログラムを書くことが出来ます。 入力ポート a,b から入ってくるデータをクロックサイクル毎に加算してc に出力するロジックのソースです。 module add ( input logic reset, input logic clk, input logic [31:0] a, input logic [31:0] b, output logic [31:0] c ); always_ff @( posedge clk ) begin if ( reset ) begin c <=
はむらび @hamurabidabutsu エルサ 「一切鍛錬を積んでいない」「戦闘の意図すらない」状態で ・国家一つを「永遠の冬」に閉ざす射程範囲と効果出力 ・精巧な氷の橋や城を数瞬で作り出す精度と速度 ・生み出した氷に命や自我を与え、また自立行動させられる ・出力負けや消耗の描写が一切ない んだよな 氷雪系最強すぎる 2024-11-29 22:00:18 一乃宮アンリ|テーマパークライバー💁🏰 @Anri_Ichinomiya そう、エルサはディズニーキャラクター指折りで戦闘能力が高い…! 氷の城での戦いの描写が上手で、 追い詰められて我を忘れている状態で、 ・矢を瞬間的に氷で防ぐ ・氷柱で磔にして行動不能にする ・雪塊を障壁にしつつ押し出して間合いを取る という攻守ともに優れた戦略をしてるんだよね。 x.com/hamurabidabuts… 2024-11-30 02:59:
『人工知能学会』の表紙をめぐる件と、警察が教育コンテンツにVTuberを起用した件が、年月をへて当時の文脈が忘れられているので反論をメモしておく - 法華狼の日記 「最初に問題視したのは人工知能学会の会員でフェミニストではない」「問題にされたのは女性表層と家事の結びつけで性的表現ではない」「一連のシリーズは騒動と同時進行で発表されたので幾らでも後付け可能」「学会誌側は特集を早期に組んでて明らかに騒動を認識している」— ぺんでゅらむ@大阪 (@pendulumknock) 2024年11月27日 そういえば炎上については『人工知能』2014 年 29 巻 2 号に小特集があったんだ。古い号なので無料で見れます。当時どういう批判があったのかはこれ見たらわかります。https://t.co/NCtsD4z6fY— 🌻ナょωレよ″丶)ょぅすレナ🌻 (@rna) 2024年11月28日 上記エン
「手取りを増やせ」のフレーズにより玉木雄一郎代表率いる国民民主党は、総選挙で議席を7から28へと一気に増やし大躍進した。政権を預かる自民、公明両党が過半数を割る中、キャスチングボートを握り、選挙公約として掲げた「103万円の壁」の引き上げを与党に合意させた。3党合意により補正予算は年内に成立する見通しとなり、「103万円の壁」引き上げは2025年度の税制改正で対応することとなった。年収が10
リリース、障害情報などのサービスのお知らせ
最新の人気エントリーの配信
j次のブックマーク
k前のブックマーク
lあとで読む
eコメント一覧を開く
oページを開く