[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/2966986.2980095guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

Cost analysis and cost-driven IP reuse methodology for SoC design based on 2.5D/3D integration

Published: 07 November 2016 Publication History

Abstract

Due to the increasing fabrication and design complexity with new process nodes, the cost per transistor trend originally identified in Moore's Law is slowing when using traditional integration methods. However, emerging die-level integration technologies may be viable alternatives that can scale the number of transistors per integrated device while reducing the cost per transistor through yield improvements across multiple smaller dies. Additionally, the escalating overheads of non-recurring engineering costs like masks and verification can be curtailed through die integration-enabled reuse of intellectual property across heterogeneous process technologies. In this paper, we present an analytical cost model for 3D and interposer-based 2.5D die integration and employ it to demonstrate the potential cost reductions across semiconductor markets. We also propose a methodology and platform for IP reuse based on these integration technologies and explore the available reductions in overall product cost through reduction in non-recurring engineering effort.

7. References

[1]
P. Christie and D. Stroobandt. The interpretation and application of rent's rule. IEEE Transactions on VLSI Systems, 8 (6):639–648, Dec 2000.
[2]
A. Dingwall. High-yield-processed bipolar LSI arrays. In IEDM, volume 14, pages 82–82, 1968.
[3]
W. Donath. Placement and average interconnection lengths of computer logic. IEEE Transactions on Circuits and Systems, 26 (4):272–277, Apr 1979.
[4]
X. Dong and Y. Xie. System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs). In ASP-DAC, pages 234–241, Jan 2009.
[5]
IC Knowledge LLC. IC Cost and Price Model, Revision 1506, 2015.
[6]
A. Kahng, S. Mantik, and D. Stroobandt. Toward accurate models of achievable routing. TCAD, 20 (5):648–659, May 2001.
[7]
A. Kannan, N. E. Jerger, and G. H. Loh. Exploiting interposer technologies to disintegrate and reintegrate multicore processors. IEEE Micro, 36 (3):84–93, May 2016.
[8]
B. Landman and R. L. Russo. On a pin versus block relationship for partitions of logic graphs. IEEE Transactions on Computers, C-20 (12):1469–1479, Dec 1971.
[9]
M. Lapedus. 10nm Versus 7nm. Semiconductor Engineering, April 2016. http://semiengineering.com/10nm-versus-7nm/
[10]
G. E. Moore. Cramming more components onto integrated circuits. Proceedings of the IEEE, 86 (1):82–85, Jan 1998.
[11]
T. Song, W. Rim, J. Jung et al., A 14nm FinFET 128Mb 6T SRAM with Vmin-enhancement techniques for low-power applications. In ISSCC, pages 232–233, Feb 2014.
[12]
S.-Y. Wu, C. Lin, M. Chiang, et al. An enhanced 16nm CMOS technology featuring 2nd generation FinFET transistors and advanced Cu/low-k interconnect for low power and high performance applications. In IEDM. pages 3.1.1–3.1.4, Dec 2014.
[13]
X. Wu, G. Sun, X. Dong, R. Das, Y. Xie, C. Das, and J. Li. Cost-driven 3d integration with interconnect layers. In Design Automation Conference (DAC), 2010 47th ACM/IEEE, pages 150–155, June 2010.
[14]
G. Yeric. Moore's law at 50: Are we planning for retirement? In IEDM, pages 1.1.1–1.1.8, Dec 2015.
[15]
P. Zarkesh-Ha, J. Davis, W. Loh et al., On a pin versus gate relationship for heterogeneous systems: Heterogeneous Rent's rule. In CICC, pages 93–96. IEEE, 1998.

Cited By

View all
  • (2024)FPIA: Communication-Aware Multi-Chiplet Integration With Field-Programmable Interconnect Fabric on Reusable Silicon InterposerIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.341957971:9(4156-4168)Online publication date: Sep-2024
  • (2024)LUCIE: A Universal Chiplet-Interposer Design Framework for Plug-and-Play Integration2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO61859.2024.00039(423-436)Online publication date: 2-Nov-2024
  • (2024)Gemini: Mapping and Architecture Co-exploration for Large-scale DNN Chiplet Accelerators2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00022(156-171)Online publication date: 2-Mar-2024
  • Show More Cited By

Index Terms

  1. Cost analysis and cost-driven IP reuse methodology for SoC design based on 2.5D/3D integration
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Please enable JavaScript to view thecomments powered by Disqus.

          Information & Contributors

          Information

          Published In

          cover image Guide Proceedings
          2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
          Nov 2016
          946 pages

          Publisher

          IEEE Press

          Publication History

          Published: 07 November 2016

          Permissions

          Request permissions for this article.

          Qualifiers

          • Research-article

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)0
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 01 Jan 2025

          Other Metrics

          Citations

          Cited By

          View all
          • (2024)FPIA: Communication-Aware Multi-Chiplet Integration With Field-Programmable Interconnect Fabric on Reusable Silicon InterposerIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.341957971:9(4156-4168)Online publication date: Sep-2024
          • (2024)LUCIE: A Universal Chiplet-Interposer Design Framework for Plug-and-Play Integration2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO61859.2024.00039(423-436)Online publication date: 2-Nov-2024
          • (2024)Gemini: Mapping and Architecture Co-exploration for Large-scale DNN Chiplet Accelerators2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00022(156-171)Online publication date: 2-Mar-2024
          • (2024)A Wireless Data and Power Transfer-Enabled MCU for Shape-Configurable Chiplet-Based Computers2024 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)10.1109/APCCAS62602.2024.10808622(105-109)Online publication date: 7-Nov-2024
          • (2024)Review of chiplet-based design: system architecture and interconnectionScience China Information Sciences10.1007/s11432-023-3926-867:10Online publication date: 19-Jul-2024
          • (2023)Heterogeneous Die-to-Die Interfaces: Enabling More Flexible Chiplet Interconnection SystemsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614310(930-943)Online publication date: 28-Oct-2023
          • (2023)HyDe: A Hybrid PCM/FeFET/SRAM Device-Search for Optimizing Area and Energy-Efficiencies in Analog IMC PlatformsIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2023.332774813:4(1073-1082)Online publication date: Dec-2023
          • (2023)Wireless enabled Inter-Chiplet Communication in DNN Hardware Accelerators2023 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW59300.2023.00081(477-483)Online publication date: May-2023
          • (2023)A Scalable Methodology for Designing Efficient Interconnection Network of Chiplets2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA56546.2023.10070981(1059-1071)Online publication date: Feb-2023
          • (2022)GIAProceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design10.1145/3508352.3549464(1-9)Online publication date: 30-Oct-2022
          • Show More Cited By

          View Options

          View options

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media