Export Citations
Save this search
Please login to be able to save your searches and receive alerts for new content matching your search criteria.
- invited-talkMarch 2024
PANEL: EDA Challenges at Advanced Technology Nodes C
ISPD '24: Proceedings of the 2024 International Symposium on Physical DesignPage 73https://doi.org/10.1145/3626184.3639697EDA ecosystem's fantastic supports and innovations have helped achieve better logic, memory, wafer-level packaging, and AI chips and systems [1] [2] and [3], for decades. We look forward to the continuous win-win collaborations among university ...
- abstractJune 2023
On Feasibility of Decision Trees for Edge Intelligence in Highly Constrained Internet-of-Things (IoT)
GLSVLSI '23: Proceedings of the Great Lakes Symposium on VLSI 2023Pages 217–218https://doi.org/10.1145/3583781.3590320Internet-of-Things (IoT) edge devices have limited resources in terms of area and power. Machine Learning based intelligent filtering can be effective in reducing the data footprint. In this work, we report a feasibility study of using decision trees (...
- keynoteMay 2019
Thoughts on Edge Intelligence
GLSVLSI '19: Proceedings of the 2019 Great Lakes Symposium on VLSIPage 1https://doi.org/10.1145/3299874.3322802Machine learning methods have exploded in the past half-dozen years. Machine learning is being applied to a huge range of problems across the spectrum of applications. Initial results relied on server-oriented computations. But many applications will ...
- research-articleMay 2019
System-on-a-Chip Design as a Platform for Teaching Design and Design Flow Integration
GLSVLSI '19: Proceedings of the 2019 Great Lakes Symposium on VLSIPages 249–253https://doi.org/10.1145/3299874.3318000The design of microelectronic systems requires integration and cooperation across multiple disciplines, but most curriculum is taught in unconnected pieces. This makes the creation of manageable projects that reflect the design experience very difficult. ...
- research-articleMay 2019
Efficient Softmax Hardware Architecture for Deep Neural Networks
GLSVLSI '19: Proceedings of the 2019 Great Lakes Symposium on VLSIPages 75–80https://doi.org/10.1145/3299874.3317988Deep neural network (DNN) has become a pivotal machine learning and object recognition technology in the big data era. The softmax layer is one of the key component layers for completing multi-classification tasks. However, the softmax layer contains ...
-
- short-paperFebruary 2019
On-chip FPGA Debug Instrumentation for Machine Learning Applications
FPGA '19: Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate ArraysPages 110–115https://doi.org/10.1145/3289602.3293922FPGAs provide a promising implementation option for many machine learning applications. Although simulations or software models can be used to explore the design space of these applications, often the final behaviour can not be evaluated until the ...
- research-articleMay 2018
MC3A: Markov Chain Monte Carlo ManyCore Accelerator
GLSVLSI '18: Proceedings of the 2018 Great Lakes Symposium on VLSIPages 165–170https://doi.org/10.1145/3194554.3194577The paper presents "MC3A"- Markov Chain Monte Carlo Many Core Accelerator, a high-throughput, domain-specific, programmable manycore accelerator, which effectively generates samples from a provided target distribution. MCMC samplers are used in machine ...
- research-articleMay 2017
Under-the-Cell Routing to Improve Manufacturability
GLSVLSI '17: Proceedings of the Great Lakes Symposium on VLSI 2017Pages 125–130https://doi.org/10.1145/3060403.3060428The progressive miniaturization of technology and the unequal scalability of the BEOL and FEOL layers aggravate the routing congestion problem and have a negative impact on manufacturability. Standard cells are designed in a way that they can be treated ...
- research-articleMarch 2017
100x Evolution of Video Codec Chips
ISPD '17: Proceedings of the 2017 ACM on International Symposium on Physical DesignPages 121–122https://doi.org/10.1145/3036669.3038252In the past two decades, there has been tremendous progress in video compression technologies. Meanwhile, the use of these technologies, along with the ever-increasing demand for emerging ultra-high-definition applications greatly challenges the design ...
- research-articleMarch 2017
Routability Optimization for Industrial Designs at Sub-14nm Process Nodes Using Machine Learning
ISPD '17: Proceedings of the 2017 ACM on International Symposium on Physical DesignPages 15–21https://doi.org/10.1145/3036669.3036681Design rule check (DRC) violations after detailed routing prevent a design from being taped out. To solve this problem, state-of-the-art commercial EDA tools global-route the design to produce a global-route congestion map; this map is used by the ...
- research-articleMay 2015
Reduced-latency LLR-based SC List Decoder for Polar Codes
GLSVLSI '15: Proceedings of the 25th edition on Great Lakes Symposium on VLSIPages 107–110https://doi.org/10.1145/2742060.2742108Polar codes, as the new generation of channel codes, have potential applications in communication and storage systems. Successive-cancellation list (SCL) algorithm is the main decoding approach for improving the error-correcting performance of polar ...
- research-articleMay 2015
Experimental Validation of a Faithful Binary Circuit Model
GLSVLSI '15: Proceedings of the 25th edition on Great Lakes Symposium on VLSIPages 355–360https://doi.org/10.1145/2742060.2742081Fast digital timing simulations based on continuous-time, digital-value circuit models are an attractive and heavily used alternative to analog simulations. Models based on analytic delay formulas are particularly interesting here, as they also ...
- short-paperMay 2015
Characterization of SWCNT Bundle Based VLSI Interconnect with Self-heating Induced Scatterings
GLSVLSI '15: Proceedings of the 25th edition on Great Lakes Symposium on VLSIPages 265–270https://doi.org/10.1145/2742060.2742074Performance of single walled carbon nanotube (SWCNT) bundle- based VLSI interconnects has been studied under the strong influence of scatterings induced by self-heating. Landauer Büttiker formalism along with Fourier heat transfer equation have been ...
- research-articleMarch 2015
QR-decomposition architecture based on two-variable numeric function approximation
DATE '15: Proceedings of the 2015 Design, Automation & Test in Europe Conference & ExhibitionPages 892–895This paper presents a new approach for hardware-based QR-decomposition using an efficient computation scheme of the Givens-Rotation. In detail, the angle of rotation and its application to the Givens-Matrix are processed in a direct, straightforward ...
- posterFebruary 2015
Formal Verification ATPG Search Engine Emulator (Abstract Only)
FPGA '15: Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate ArraysPage 264https://doi.org/10.1145/2684746.2689105Bounded Model Checking (BMC), as a formal method of verifying VLSI circuits, shows violation of a given circuit property by finding a counter-example to the property along bounded state paths of the circuit. In this paper, we present an emulation ...
- research-articleMay 2013
Multi-corner multi-voltage domain clock mesh design
GLSVLSI '13: Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSIPages 209–214https://doi.org/10.1145/2483028.2483094This paper introduces a novel multi-voltage domain clock mesh design methodology that is effective under multiple process corners. In multi-voltage designs, a single clock mesh that spans multiple voltage domains is infeasible due to the incompatibility ...
- research-articleMay 2013
Skew-bounded low swing clock tree optimization
GLSVLSI '13: Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSIPages 49–54https://doi.org/10.1145/2483028.2483059This paper introduces a methodology that optimizes the performance of a low swing clock tree under a skew bound. Low-swing clock trees are preferred for a reduction in the clock switching power, with an expected trade-off in clock slew and skew. In this ...
- extended-abstractMarch 2013
To do or not to do hierarchical timing?
ISPD '13: Proceedings of the 2013 ACM International symposium on Physical DesignPage 180https://doi.org/10.1145/2451916.2451964The latest design specs have arrived and it is now clear that timing runs will not fit in our machines. What to do! Is hierarchical STA the perfect solution? Will it work exactly like flat? How will it interact with the hierarchical design ...