[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
research-article

Worst-case Power Integrity Prediction Using Convolutional Neural Network

Published: 17 May 2023 Publication History

Abstract

Power integrity analysis is an essential step in power distribution network (PDN) sign-off to ensure the performance and reliability of chips. However, with the growing PDN size and increasing scenarios to be validated, it becomes very time- and resource-consuming to conduct full-stack PDN simulation to check the power integrity for different test vectors. Recently, various works have proposed machine learning–based methods for PDN power integrity prediction, many of which still suffer from large training overhead, inefficiency, or non-scalability. Thus, this article proposed an efficient and scalable framework for the worst-case power integrity prediction, which can handle general tasks including dynamic noise prediction and bump current prediction. The framework first reduces the spatial and temporal redundancy in the PDN and input current vector and then employs efficient feature extraction as well as a novel convolutional neural network architecture to predict the worst-case power integrity. Experimental results show that the proposed framework consistently outperforms the commercial tool and the state-of-the-art machine learning method with only 0.63–1.02% mean relative error and 25–69× speedup for noise prediction and 0.22–1.06% mean relative error and 24–64× speedup for bump current prediction.

References

[1]
Karim Arabi, Resve Saleh, and Xiongfei Meng. 2007. Power supply noise in SoCs: Metrics, management, and measurement. IEEE Des. Test Comput. 24, 3 (2007), 236–244.
[2]
Chuangtao Chen, Weikang Qian, Mohsen Imani, Xunzhao Yin, and Cheng Zhuo. 2021. PAM: A piecewise-linearly-approximated floating-point multiplier with unbiasedness and configurability. IEEE Trans. Comput. 71, 10 (2021), 2473–2486.
[3]
Hai-Bao Chen, Sheldon X.-D. Tan, Xin Huang, Taeyoung Kim, and Valeriy Sukharev. 2016. Analytical modeling and characterization of electromigration effects for multibranch interconnect trees. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 35, 11 (2016), 1811–1824.
[4]
Tianqi Chen and Carlos Guestrin. 2016. XGBoost: A scalable tree boosting system. In Proceedings of the ACM SIGKDD International Conference on Knowledge Discovery and Data Mining (KDD’16). 785–794.
[5]
Yufei Chen, Haojie Pei, Xiao Dong, Zhou Jin, and Cheng Zhuo. 2022. Application of deep learning in back-end simulation: Challenges and opportunities. In Proceedings of the IEEE Asia and South Pacific Design Automation Conference (ASP-DAC’22). 641–646.
[6]
Vidya A. Chhabria, Vipul Ahuja, Ashwath Prabhu, Nikhil Patil, Palkesh Jain, and Sachin S. Sapatnekar. 2021. Thermal and IR drop analysis using convolutional encoder-decoder networks. In Proceedings of the IEEE Asia and South Pacific Design Automation Conference (ASP-DAC’21). 690–696.
[7]
Vidya A. Chhabria, Andrew B. Kahng, Minsoo Kim, Uday Mallappa, Sachin S. Sapatnekar, and Bangqi Xu. 2020. Template-based PDN synthesis in floorplan and placement using classifier and CNN techniques. In Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC’20). 44–49.
[8]
Eli Chiprout. 2010. On-die power grids: The missing link. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’10). 940–945.
[9]
Sukanta Dey, Sukumar Nandi, and Gaurav Trivedi. 2020. Machine learning approach for fast electromigration aware aging prediction in incremental design of large scale on-chip power grid network. ACM Trans. Des. Autom. Electr. Syst. 25, 5 (2020), 1–29.
[10]
Sukanta Dey, Sukumar Nandi, and Gaurav Trivedi. 2020. PowerPlanningDL: Reliability-aware framework for on-chip power grid design using deep learning. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE’20). 1520–1525.
[11]
Sukanta Dey, Sukumar Nandi, and Gaurav Trivedi. 2021. Machine learning for VLSI CAD: A case study in on-chip power grid design. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’21). 378–383.
[12]
Yen-Chun Fang, Heng-Yi Lin, Min-Yan Su, Chien-Mo Li, and Eric Jia-Wei Fang. 2018. Machine-learning-based dynamic IR drop prediction for ECO. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’18). 1–7.
[13]
Chia-Tung Ho and Andrew B. Kahng. 2019. IncPIRD: Fast learning-based prediction of incremental IR drop. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’19). 1–8.
[14]
Xin Huang, Armen Kteyan, Sheldon X.-D. Tan, and Valeriy Sukharev. 2016. Physics-based electromigration models and full-chip assessment for power grid networks. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 35, 11 (2016), 1848–1861.
[15]
Wentian Jin, Liang Chen, Sheriff Sadiqbatcha, Shaoyi Peng, and Sheldon X.-D. Tan. 2021. EMGraph: Fast learning-based electromigration analysis for multi-segment interconnect using graph convolution networks. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’21). 919–924.
[16]
Diederik Kingma and Jimmy Ba. 2014. Adam: A method for stochastic optimization. arXiv:1412.6980. Retrieved from https://arxiv.org/abs/1412.6980.
[17]
Shih-Yao Lin, Yen-Chun Fang, Yu-Ching Li, Yu-Cheng Liu, Tsung-Shan Yang, Shang-Chien Lin, Chien-Mo Li, and Eric Jia-Wei Fang. 2018. IR drop prediction of ECO-revised circuits using machine learning. In Proceedings of the IEEE VLSI Test Symposium (VTS’18). 1–6.
[18]
Azalia Mirhoseini, Anna Goldie, Mustafa Yazgan, Joe Wenjie Jiang, Ebrahim Songhori, Shen Wang, Young-Joon Lee, Eric Johnson, Omkar Pathak, Azade Nazi, Jiwoo Pak, Andy Tong, Kavya Srinivasa, William Hang, Emre Tuncer, Quoc V. Le, James Laudon, Richard Ho, Roger Carpenter, and Jeff Dean. 2021. A graph placement methodology for fast chip design. Nature 594, 7862 (2021), 207–212.
[19]
Vivek Mishra and Sachin S. Sapatnekar. 2013. The impact of electromigration in copper interconnects on power grid integrity. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’13). 1–6.
[20]
Seyed Nima Mozaffari, Bonita Bhaskaran, Kaushik Narayanun, Ayub Abdollahian, Vinod Pagalone, Shantanu Sarangi, and Jonathon E. Colburn. 2019. An efficient supervised learning method to predict power supply noise during at-speed test. In Proceedings of the IEEE International Test Conference (ITC’19). 1–10.
[21]
Chi-Hsien Pao, An-Yu Su, and Yu-Min Lee. 2020. XGBIR: An XGBoost-based IR drop predictor for power delivery network. In Proceedings of the IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition (DATE’20). 1307–1310.
[22]
Haifeng Qian, S. R. Nassif, and S. S. Sapatnekar. 2006. Power grid analysis using random walks. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 24, 8 (2006), 1204–1224.
[23]
Olaf Ronneberger, Philipp Fischer, and Thomas Brox. 2015. U-Net: Convolutional networks for biomedical image segmentation. In Proceedings of the Medical Image Computing and Computer-Assisted Intervention (MICCAI’15). 234–241.
[24]
Sheriff Sadiqbatcha, Zeyu Sun, and Sheldon X.-D. Tan. 2020. Accelerating electromigration aging: Fast failure detection for nanometer ICs. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 39, 4 (2020), 885–894.
[25]
Zhiyao Xie, Haoxing Ren, Brucek Khailany, Ye Sheng, Santosh Santosh, Jiang Hu, and Yiran Chen. 2020. PowerNet: Transferable dynamic IR drop estimation via maximum convolutional neural network. In Proceedings of the IEEE Asia and South Pacific Design Automation Conference (ASP-DAC’20). 13–18.
[26]
Min Zhao, Rajendran V. Panda, Sachin S. Sapatnekar, Tim Edwards, Rajat Chaudhry, and David Blaauw. 2000. Hierarchical analysis of power distribution networks. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’00). 150–155.
[27]
Xueqian Zhao, Zhuo Feng, and Cheng Zhuo. 2014. An efficient spectral graph sparsification approach to scalable reduction of large flip-chip power grids. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’14). 218–223.
[28]
Han Zhou, Wentian Jin, and Sheldon X.-D. Tan. 2020. GridNet: Fast data-driven EM-induced IR drop prediction and localized fixing for on-chip power grid networks. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD’20). 1–9.
[29]
Zhengyong Zhu, Bo Yao, and Chung-Kuan Cheng. 2003. Power network analysis using an adaptive algebraic multigrid approach. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’03). 105–108.
[30]
Cheng Zhuo, Di Gao, Yuan Cao, Tianhao Shen, Li Zhang, Jinfang Zhou, and Xunzhao Yin. 2021. A DVFS design and simulation framework using machine learning models. IEEE Des. Test (Early Access) (2021), 1–7.
[31]
Cheng Zhuo, Jiang Hu, Min Zhao, and Kangsheng Chen. 2008. Power grid analysis and optimization using algebraic multigrid. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 27, 4 (2008), 738–751.
[32]
Cheng Zhuo, Shaoheng Luo, Houle Gan, Jiang Hu, and Zhiguo Shi. 2020. Noise-aware DVFS for efficient transitions on battery-powered IoT devices. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 39, 7 (2020), 1498–1510.
[33]
Cheng Zhuo, Kassan Unda, Yiyu Shi, and Wei-Kai Shih. 2019. From layout to system: Early stage power delivery and architecture co-exploration. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 38, 7 (2019), 1291–1304.
[34]
Cheng Zhuo, Gustavo Wilke, Ritochit Chakraborty, Alaeddin A. Aydiner, Sourav Chakravarty, and Wei-Kai Shih. 2015. Silicon-validated power delivery modeling and analysis on a 32-nm DDR I/O interface. IEEE Trans. VLSI Syst. 9, 23 (2015), 1760–1771.

Cited By

View all
  • (2024)A Parallel Simulation Framework Incorporating Machine Learning-Based Hotspot Detection for Accelerated Power Grid AnalysisProceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD10.1145/3670474.3685947(1-7)Online publication date: 9-Sep-2024
  • (2024)A Parallel Simulation Framework Incorporating Machine Learning-Based Hotspot Detection for Accelerated Power Grid Analysis2024 ACM/IEEE 6th Symposium on Machine Learning for CAD (MLCAD)10.1109/MLCAD62225.2024.10740202(1-7)Online publication date: 9-Sep-2024
  • (2023)Dynamic Supply Noise Aware Timing Analysis With JIT Machine Learning IntegrationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.334260343:5(1511-1524)Online publication date: 13-Dec-2023

Index Terms

  1. Worst-case Power Integrity Prediction Using Convolutional Neural Network

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 28, Issue 4
      July 2023
      432 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/3597460
      Issue’s Table of Contents

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 17 May 2023
      Online AM: 03 October 2022
      Accepted: 13 September 2022
      Revised: 07 August 2022
      Received: 26 April 2022
      Published in TODAES Volume 28, Issue 4

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Power distribution network
      2. power integrity
      3. sign-off
      4. dynamic noise validation
      5. bump current prediction
      6. convolutional neural network

      Qualifiers

      • Research-article

      Funding Sources

      • National Key R&D Program of China
      • Zhejiang Provincial Key R&D program
      • National Natural Science Foundation of China
      • Guangdong Provincial Key R&D program
      • Anhui Provincial Natural Science Foundation

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)196
      • Downloads (Last 6 weeks)21
      Reflects downloads up to 09 Dec 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)A Parallel Simulation Framework Incorporating Machine Learning-Based Hotspot Detection for Accelerated Power Grid AnalysisProceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD10.1145/3670474.3685947(1-7)Online publication date: 9-Sep-2024
      • (2024)A Parallel Simulation Framework Incorporating Machine Learning-Based Hotspot Detection for Accelerated Power Grid Analysis2024 ACM/IEEE 6th Symposium on Machine Learning for CAD (MLCAD)10.1109/MLCAD62225.2024.10740202(1-7)Online publication date: 9-Sep-2024
      • (2023)Dynamic Supply Noise Aware Timing Analysis With JIT Machine Learning IntegrationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.334260343:5(1511-1524)Online publication date: 13-Dec-2023

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Full Text

      View this article in Full Text.

      Full Text

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media