[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.5555/1326073.1326214acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Soft-edge flip-flops for improved timing yield: design and optimization

Published: 05 November 2007 Publication History

Abstract

Parameter variations cause high yield losses due to their large impact on circuit delay. In this paper, we propose the use of so-called soft-edge flip-flops as an effective way to mitigate these yield losses. Soft-edge flip-flops have a small window of transparency (ranging from 0.25-3 FO4) instead of a hard edge, allowing limited cycle stealing on critical paths, and thus compensating for delay variations. By enabling time borrowing, soft-edge flip-flops allow random delay variations to average out across multiple logic stages. In addition, they address small amounts of delay imbalance between logic stages, further maximizing the frequency of operation. We develop a library of soft-edge flip-flops with varying amounts of softness. We show that the power and area overhead of soft-edge flip-flops grows directly with the amount of softness. We then propose a statistically aware flip-flop assignment algorithm that maximizes the gain in timing yield while minimizing the incurred power overhead. Experimental results on a wide range of benchmark circuits show that the proposed approach improves the mean delay by 1.9--22.3% while simultaneously reducing the standard deviation of delay by 1.9--24.1% while increasing power by a small amount (0.3--2.8%).

References

[1]
S. Nassif, "Delay variability: sources, impacts and trends," Proc. of ISSCC, pp. 368--369, 2000.
[2]
Y. Taur et al., "CMOS scaling into nanometer regime," Proc. of the IEEE, no. 4, pp. 486--504, 1997.
[3]
S. R. Nassif, A. J. Strojwas and S. W. Director, "A methodology for worst-case analysis of integrated circuits," IEEE Trans. Computer-Aided Design, vol. CAD-5, pp. 104--113, Jan. 1986.
[4]
C. Visweswariah, "Death, taxes and failing chips," Proc," Proc of DAC, pp. 343--347, June 2003.
[5]
H. Chang and S. Sapatnekar, "Statistical timing analysis considering spatial correlations using a single pert-like traversal," Proc. of ICCAD, pp. 621--625, 2003.
[6]
E. Jacobs and M. Berkelaar, "Gate sizing using a statistical delay model," Proc. of DAC, pp. 283--290, 2000.
[7]
P. Seung et al. "Novel sizing algorithm for yield improvement under process variation in nanometer technology," Proc. of DAC, pp. 454--459, 2004.
[8]
M. Mani and M. Orshansky, "A new statistical optimization algorithm for gate sizing," Proc. of ICCD, pp. 272--277, 2004.
[9]
J. Singh, V. Nookala, Z. Q. Luo, and S. Sapatnekar, "Robust gate sizing by geometric programming," Proc. of DAC, pp. 315--320, 2005.
[10]
X. Liu, M. C. Papaefthymiou, and E. G. Friedman, "Maximizing performance by retiming and clock skew scheduling," Proc. of DAC, pp. 231--236, 1999.
[11]
V. Nawale and T. W. Chen, "Optimal useful skew scheduling in the presence of variations using robust ILP formulation," Proc. of ICCAD, pp. 27--32, 2006.
[12]
A. P. Hurst and R. K. Brayton, "Latch based design under process variation," IWLS 2006.
[13]
A. Srivastava, D. Sylvester and D. Blaauw, "Statistical optimization of leakage power considering process varitions using dual V<sb>th</sb> and sizing," Proc. of DAC, pp. 773--778, 2004.
[14]
N. Magen, A. Kolodny, U. Weiser, N. Shamir, "Interconnect power dissipation in a microprocessor," SLIP 2004.
[15]
H. Chang, V. Zolotov, S. Narayan, and C. Visweswariah, "Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions," Proc. of DAC, pp. 71--76, 2005.
[16]
K. Chopra, S. Shah, A. Srivastava, D. Blaauw and D. Sylvester, "Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation," Proc. of ICCAD, pp. 1023--1028, 2005.

Cited By

View all
  • (2018)Balancing resiliency and energy efficiency of functional units in ultra-low power systemsProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201754(637-644)Online publication date: 22-Jan-2018
  • (2016)Temperature-aware Dynamic Voltage Scaling for Near-Threshold ComputingProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2902997(361-364)Online publication date: 18-May-2016
  • (2014)Dynamic flip-flop conversion to tolerate process variation in low power circuitsProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616742(1-4)Online publication date: 24-Mar-2014
  • Show More Cited By
  1. Soft-edge flip-flops for improved timing yield: design and optimization

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
    November 2007
    933 pages
    ISBN:1424413826
    • General Chair:
    • Georges Gielen

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 05 November 2007

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ICCAD07
    Sponsor:

    Acceptance Rates

    ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 10 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2018)Balancing resiliency and energy efficiency of functional units in ultra-low power systemsProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201754(637-644)Online publication date: 22-Jan-2018
    • (2016)Temperature-aware Dynamic Voltage Scaling for Near-Threshold ComputingProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2902997(361-364)Online publication date: 18-May-2016
    • (2014)Dynamic flip-flop conversion to tolerate process variation in low power circuitsProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616742(1-4)Online publication date: 24-Mar-2014
    • (2013)Variability-aware design of energy-delay optimal linear pipelines operating in the near-threshold regime and aboveProceedings of the 23rd ACM international conference on Great lakes symposium on VLSI10.1145/2483028.2483061(61-66)Online publication date: 2-May-2013
    • (2012)A fine-grained many VT design methodology for ultra low voltage operationsProceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design10.1145/2333660.2333702(161-166)Online publication date: 30-Jul-2012
    • (2011)MicroFixACM Transactions on Design Automation of Electronic Systems10.1145/1929943.192994816:2(1-21)Online publication date: 7-Apr-2011
    • (2009)MicroFixProceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design10.1145/1594233.1594334(395-400)Online publication date: 19-Aug-2009
    • (2009)Using soft-edge flip-flops to compensate NBTI-induced delay degradationProceedings of the 19th ACM Great Lakes symposium on VLSI10.1145/1531542.1531585(169-172)Online publication date: 10-May-2009
    • (2008)Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowingProceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design10.5555/1509456.1509500(155-160)Online publication date: 10-Nov-2008
    • (2008)A mathematical solution to power optimal pipeline design by utilizing soft edge flip-flopsProceedings of the 2008 international symposium on Low Power Electronics & Design10.1145/1393921.1393935(33-38)Online publication date: 11-Aug-2008

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media