• Chai Z, Zhao Y, Liu W, Lin Y, Wang R and Huang R. CircuitNet: An Open-Source Dataset for Machine Learning in VLSI CAD Applications With Improved Domain-Specific Evaluation Metric and Learning Strategies. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2023.3287970. 42:12. (5034-5047).

    https://ieeexplore.ieee.org/document/10158384/

  • Jiang X, Guo Z, Chai Z, Zhao Y, Lin Y, Wang R and Huang R. (2023). Invited Paper: Accelerating Routability and Timing Optimization with Open-Source AI4EDA Dataset CircuitNet and Heterogeneous Platforms 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD). 10.1109/ICCAD57390.2023.10323938. 979-8-3503-2225-5. (1-9).

    https://ieeexplore.ieee.org/document/10323938/

  • Zheng S, Zou L, Xu P, Liu S, Yu B and Wong M. (2023). Lay-Net: Grafting Netlist Knowledge on Layout-Based Congestion Prediction 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD). 10.1109/ICCAD57390.2023.10323800. 979-8-3503-2225-5. (1-9).

    https://ieeexplore.ieee.org/document/10323800/

  • Hyun D, Koh S, Jung Y, Kim T and Shin Y. (2023). Routability Optimization of Extreme Aspect Ratio Design through Non-uniform Placement Utilization and Selective Flip-flop Stacking. ACM Transactions on Design Automation of Electronic Systems. 28:4. (1-19). Online publication date: 31-Jul-2023.

    https://doi.org/10.1145/3573387

  • Liu J and Young E. (2023). EDGE: Efficient DAG-based Global Routing Engine 2023 60th ACM/IEEE Design Automation Conference (DAC). 10.1109/DAC56929.2023.10247702. 979-8-3503-2348-1. (1-6).

    https://ieeexplore.ieee.org/document/10247702/

  • Liu S, Pu Y, Liao P, Wu H, Zhang R, Chen Z, Lv W, Lin Y and Yu B. FastGR: Global Routing on CPU–GPU With Heterogeneous Task Graph Scheduler. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2022.3217668. 42:7. (2317-2330).

    https://ieeexplore.ieee.org/document/9931135/

  • Qiu Y, Xing Y, Cai S, Li X and Xiong X. (2023). Lutplace: An Improved Lookup Table-Based Placement for Routability 2023 China Semiconductor Technology International Conference (CSTIC). 10.1109/CSTIC58779.2023.10219242. 979-8-3503-1100-6. (1-3).

    https://ieeexplore.ieee.org/document/10219242/

  • Kim H and Kim T. Placement Legalization Amenable to Mixed-cell-height Standard Cells Integrating into State-of-the-art Commercial EDA Tool. Proceedings of the Great Lakes Symposium on VLSI 2023. (321-326).

    https://doi.org/10.1145/3583781.3590278

  • Purkayastha S and Mukherjee S. (2022). PHetDP: A Placement Algorithm for Heterogeneous FPGAs with Delayed Packing. Circuits, Systems, and Signal Processing. 10.1007/s00034-022-02159-4. 42:2. (801-827). Online publication date: 1-Feb-2023.

    https://link.springer.com/10.1007/s00034-022-02159-4

  • Chen J, Kuang J, Zhao G, Huang D and Young E. PROS 2.0: A Plug-In for Routability Optimization and Routed Wirelength Estimation Using Deep Learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2022.3168259. 42:1. (164-177).

    https://ieeexplore.ieee.org/document/9759464/

  • Liu S, Liao P, Zhang R, Chen Z, Lv W, Lin Y and Yu B. FastGR. Proceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe. (760-765).

    /doi/10.5555/3539845.3540028

  • Liu S, Liao P, Zhang R, Chen Z, Lv W, Lin Y and Yu B. (2022). FastGR: Global Routing on CPU-GPU with Heterogeneous Task Graph Scheduler 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE54114.2022.9774606. 978-3-9819263-6-1. (760-765).

    https://ieeexplore.ieee.org/document/9774606/

  • Aghaeekiasaraee E, Tabrizi A, Fontana T, Netto R, Almeida S, Gandhi U, Gutzel J, Westwick D and Behjat L. (2022). CR&P: An Efficient Co-operation between Routing and Placement 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE54114.2022.9774530. 978-3-9819263-6-1. (772-777).

    https://ieeexplore.ieee.org/document/9774530/

  • Mukherjee S and Purkayastha S. (2021). Packing and Legalization Free Boolean Satisfiability-based Placement Algorithm for Heterogeneous FPGAs. Arabian Journal for Science and Engineering. 10.1007/s13369-021-06176-4. 47:2. (2255-2270). Online publication date: 1-Feb-2022.

    https://link.springer.com/10.1007/s13369-021-06176-4

  • Lin J, Huang C, Zane L, Tsai M, Lin C and Tsai C. (2021). Routability-driven Global Placer Target on Removing Global and Local Congestion for VLSI Designs 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD). 10.1109/ICCAD51958.2021.9643544. 978-1-6654-4507-8. (1-8).

    https://ieeexplore.ieee.org/document/9643544/

  • Fontana T, Aghaeekiasaraee E, Netto R, Almeida S, Gandh U, Tabrizi A, Westwick D, Behjat L and Guntzel J. (2021). ILP-Based Global Routing Optimization with Cell Movements 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI51109.2021.00016. 978-1-6654-3946-6. (25-30).

    https://ieeexplore.ieee.org/document/9516728/

  • Liu S, Sun Q, Liao P, Lin Y and Yu B. (2021). Global Placement with Deep Learning-Enabled Explicit Routability Optimization 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE51398.2021.9473959. 978-3-9819263-5-4. (1821-1824).

    https://ieeexplore.ieee.org/document/9473959/

  • Chen J, Kuang J, Zhao G, Huang D and Young E. PROS. Proceedings of the 39th International Conference on Computer-Aided Design. (1-8).

    https://doi.org/10.1145/3400302.3415662

  • Lin Y, Pan D, Ren H and Khailany B. (2020). DREAMPlace 2.0: Open-Source GPU-Accelerated Global and Detailed Placement for Large-Scale VLSI Designs 2020 China Semiconductor Technology International Conference (CSTIC). 10.1109/CSTIC49141.2020.9282573. 978-1-7281-6558-5. (1-4).

    https://ieeexplore.ieee.org/document/9282573/

  • Tabrizi A, Darav N, Rakai L, Bustany I, Kennings A and Behjat L. Eh?Predictor: A Deep Learning Framework to Identify Detailed Routing Short Violations From a Placed Netlist. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2019.2917130. 39:6. (1177-1190).

    https://ieeexplore.ieee.org/document/8716564/

  • Datta P and Mukherjee S. (2019). Routability-driven Placement for Mixed-size Designs using Design-hierarchy and Pin Information 2019 International Conference on Automation, Computational and Technology Management (ICACTM). 10.1109/ICACTM.2019.8776791. 978-1-5386-8010-0. (424-430).

    https://ieeexplore.ieee.org/document/8776791/

  • Kahng A, Kahng A, Lee H and Li J. PROBE: A Placement, Routing, Back-End-of-Line Measurement Utility. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2017.2750072. 37:7. (1459-1472).

    https://ieeexplore.ieee.org/document/8027097/

  • Chen L, Huang C, Chang Y and Chen H. (2018). A learning-based methodology for routability prediction in placement 2018 International Symposium on VLSI Design, Automation and Test (VLSI-DAT). 10.1109/VLSI-DAT.2018.8373272. 978-1-5386-4260-3. (1-4).

    https://ieeexplore.ieee.org/document/8373272/

  • Datta P and Mukherjee S. (2018). GPSAT: A SAT based Global Placement for Large Scale Mixed-size Designs 2018 International Conference on Intelligent Autonomous Systems (ICoIAS). 10.1109/ICoIAS.2018.8493948. 978-1-5386-6329-5. (77-81).

    https://ieeexplore.ieee.org/document/8493948/

  • Purkayastha S and Mukherjee S. (2017). Lookahead legalization based global placement for heterogeneous FPGAs 2017 7th International Symposium on Embedded Computing and System Design (ISED). 10.1109/ISED.2017.8303929. 978-1-5386-3032-7. (1-5).

    http://ieeexplore.ieee.org/document/8303929/

  • Chen S, Chang Y and Chen T. An integrated-spreading-based macro-refining algorithm for large-scale mixed-size circuit designs. Proceedings of the 36th International Conference on Computer-Aided Design. (496-503).

    /doi/10.5555/3199700.3199766

  • Su H, Nishizawa S, Wu Y, Shiomi J, Li Y and Onodera H. (2017). Pin accessibility evaluating model for improving routability of VLSI designs 2017 30th IEEE International System-on-Chip Conference (SOCC). 10.1109/SOCC.2017.8226007. 978-1-5386-4034-0. (56-61).

    http://ieeexplore.ieee.org/document/8226007/

  • Chow W, Kuang J, Tu P and Young E. (2017). Fence-aware detailed-routability driven placement 2017 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP). 10.1109/SLIP.2017.7974905. 978-1-5386-1536-2. (1-7).

    http://ieeexplore.ieee.org/document/7974905/

  • Chan W, Ho P, Kahng A and Saxena P. Routability Optimization for Industrial Designs at Sub-14nm Process Nodes Using Machine Learning. Proceedings of the 2017 ACM on International Symposium on Physical Design. (15-21).

    https://doi.org/10.1145/3036669.3036681

  • He X, Wang Y, Guo Y and Young E. (2016). Ripple 2.0. ACM Transactions on Design Automation of Electronic Systems. 22:1. (1-26). Online publication date: 31-Jan-2017.

    https://doi.org/10.1145/2925989

  • Chan W, Du Y, Kahng A, Nath S and Samadi K. (2016). BEOL stack-aware routability prediction from placement using data mining techniques 2016 IEEE 34th International Conference on Computer Design (ICCD). 10.1109/ICCD.2016.7753259. 978-1-5090-5142-7. (41-48).

    http://ieeexplore.ieee.org/document/7753259/

  • Darav N, Kennings A, Tabrizi A, Westwick D and Behjat L. (2016). Eh?Placer. ACM Transactions on Design Automation of Electronic Systems. 21:3. (1-27). Online publication date: 26-Jul-2016.

    https://doi.org/10.1145/2899381

  • Monteiro J, Darav N, Flach G, Fogaca M, Reis R, Kennings A, Johann M and Behjat L. (2016). Routing-Aware Incremental Timing-Driven Placement 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI.2016.23. 978-1-4673-9039-2. (290-295).

    http://ieeexplore.ieee.org/document/7560212/

  • Kuang J, Chow W and Young E. Triple Patterning Lithography Aware Optimization and Detailed Placement Algorithms for Standard Cell-Based Designs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10.1109/TVLSI.2015.2461463. 24:4. (1319-1332).

    http://ieeexplore.ieee.org/document/7208898/

  • Chow W and Young E. (2016). Placement: From Wirelength to Detailed Routability. IPSJ Transactions on System LSI Design Methodology. 10.2197/ipsjtsldm.9.2. 9:0. (2-12).

    https://www.jstage.jst.go.jp/article/ipsjtsldm/9/0/9_2/_article

  • Darav N, Kennings A, Westwick D and Behjat L. High Performance Global Placement and Legalization Accounting for Fence Regions. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. (514-519).

    /doi/10.5555/2840819.2840891

  • Markov I, Hu J and Kim M. Progress and Challenges in VLSI Placement Research. Proceedings of the IEEE. 10.1109/JPROC.2015.2478963. 103:11. (1985-2003).

    http://ieeexplore.ieee.org/document/7295553/

  • Darav N, Kennings A, Westwick D and Behjat L. (2015). High performance global placement and legalization accounting for fence regions 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 10.1109/ICCAD.2015.7372613. 978-1-4673-8388-2. (514-519).

    http://ieeexplore.ieee.org/document/7372613/

  • Zhou Q, Wang X, Qi Z, Chen Z, Zhou Q and Cai Y. (2015). An accurate detailed routing routability prediction model in placement 2015 6th Asia Symposium on Quality Electronic Design (ASQED). 10.1109/ACQED.2015.7274019. 978-1-4673-7495-8. (119-122).

    http://ieeexplore.ieee.org/document/7274019/

  • Kar B, Sur-Kolay S and Mandal C. (2015). A New Method for Defining Monotone Staircases in VLSI Floorplans 2015 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI.2015.47. 978-1-4799-8719-1. (107-112).

    http://ieeexplore.ieee.org/document/7309547/

  • Tabrizi A, Darav N, Rakai L, Kennings A, Swartz W and Behjat L. (2015). A Detailed Routing-Aware Detailed Placement Technique 2015 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI.2015.108. 978-1-4799-8719-1. (38-43).

    http://ieeexplore.ieee.org/document/7309535/

  • Liu W, Chien T and Wang T. Region-Based and Panel-Based Algorithms for Unroutable Placement Recognition. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2015.2394432. 34:4. (502-514).

    http://ieeexplore.ieee.org/document/7029072/

  • Wang C, Huang C, Liu S, Chin C, Hu S, Wu W and Chen H. Closing the Gap between Global and Detailed Placement. Proceedings of the 2015 Symposium on International Symposium on Physical Design. (149-156).

    https://doi.org/10.1145/2717764.2717776

  • Liu W, Peng Z and Wang T. A resource-level parallel approach for global-routing-based routing congestion estimation and a method to quantify estimation accuracy. Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design. (389-396).

    /doi/10.5555/2691365.2691445

  • Liu W, Peng Z and Wang T. (2014). A resource-level parallel approach for global-routing-based routing congestion estimation and a method to quantify estimation accuracy 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 10.1109/ICCAD.2014.7001381. 978-1-4799-6278-5. (389-396).

    http://ieeexplore.ieee.org/document/7001381/

  • Lin T and Chu C. POLAR 2.0. Proceedings of the 51st Annual Design Automation Conference. (1-6).

    https://doi.org/10.1145/2593069.2593181

  • Popovych S, Lai H, Wang C, Li Y, Liu W and Wang T. Density-aware Detailed Placement with Instant Legalization. Proceedings of the 51st Annual Design Automation Conference. (1-6).

    https://doi.org/10.1145/2593069.2593120

  • Lin M, Hsiao V and Lin C. (2014). Parasitic-aware sizing and detailed routing for binary-weighted capacitors in charge-scaling DAC 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC). 10.1109/DAC.2014.6881492. 978-1-4799-3017-3. (1-6).

    http://ieeexplore.ieee.org/document/6881492/

  • Lin T and Chu C. (2014). POLAR 2.0: An effective routability-driven placer 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC). 10.1109/DAC.2014.6881450. 978-1-4799-3017-3. (1-6).

    http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6881450

  • Chow W, Kuang J, He X, Cai W and Young E. Cell density-driven detailed placement with displacement constraint. Proceedings of the 2014 on International symposium on physical design. (3-10).

    https://doi.org/10.1145/2560519.2560523

  • Liu W, Chien T and Wang T. A study on unroutable placement recognition. Proceedings of the 2014 on International symposium on physical design. (19-26).

    https://doi.org/10.1145/2560519.2560522