• Cai H, Zhou R, Huang P, Jing Y and Liu G. (2024). SLDPSO-TA: Track Assignment Algorithm Based on Social Learning Discrete Particle Swarm Optimization. Electronics. 10.3390/electronics13224571. 13:22. (4571).

    https://www.mdpi.com/2079-9292/13/22/4571

  • Jin Y, Yang X and Lu Y. (2024). Deep Learning-based Algorithm for Multi-objective Driver Chip Cell Layout Optimization 2024 IEEE 7th International Conference on Electronic Information and Communication Technology (ICEICT). 10.1109/ICEICT61637.2024.10671092. 979-8-3503-8443-7. (1369-1374).

    https://ieeexplore.ieee.org/document/10671092/

  • Qi Y, Gan Z, Ding J, Fu Z, Gong M and Yu W. (2024). Track Assignment Using Gradient Indication and Simulated Annealing 2024 IEEE International Symposium on Circuits and Systems (ISCAS). 10.1109/ISCAS58744.2024.10557940. 979-8-3503-3099-1. (1-5).

    https://ieeexplore.ieee.org/document/10557940/

  • Qi Z, Peng Q, Hu S and You H. (2024). Effective Heterogeneous Graph Neural Network for Routing Congestion Prediction 2024 2nd International Symposium of Electronics Design Automation (ISEDA). 10.1109/ISEDA62518.2024.10617734. 979-8-3503-5203-0. (369-373).

    https://ieeexplore.ieee.org/document/10617734/

  • Gottesbüren L, Heuer T, Maas N, Sanders P and Schlag S. (2024). Scalable High-Quality Hypergraph Partitioning. ACM Transactions on Algorithms. 20:1. (1-54). Online publication date: 31-Jan-2024.

    https://doi.org/10.1145/3626527

  • Liu B, Qiao C, Xu N, Geng X, Zhu Z and Yang J. Variational Label-Correlation Enhancement for Congestion Prediction. Proceedings of the 29th Asia and South Pacific Design Automation Conference. (466-471).

    https://doi.org/10.1109/ASP-DAC58780.2024.10473930

  • Yu H, Huang S, Ren L, Gajic Z and Hinz H. (2024). Research on Methods for Very Large Scale Integration Track Assignment Routing. MATEC Web of Conferences. 10.1051/matecconf/202439900015. 399. (00015).

    https://www.matec-conferences.org/10.1051/matecconf/202439900015

  • Chai Z, Zhao Y, Liu W, Lin Y, Wang R and Huang R. CircuitNet: An Open-Source Dataset for Machine Learning in VLSI CAD Applications With Improved Domain-Specific Evaluation Metric and Learning Strategies. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2023.3287970. 42:12. (5034-5047).

    https://ieeexplore.ieee.org/document/10158384/

  • Qiu Y, Xing Y, Cai S, Li X and Xiong X. (2023). Lutplace: An Improved Lookup Table-Based Placement for Routability 2023 China Semiconductor Technology International Conference (CSTIC). 10.1109/CSTIC58779.2023.10219242. 979-8-3503-1100-6. (1-3).

    https://ieeexplore.ieee.org/document/10219242/

  • Qiu Y, Xing Y, Zheng X, Gao P, Cai S and Xiong X. (2023). Progress of Placement Optimization for Accelerating VLSI Physical Design. Electronics. 10.3390/electronics12020337. 12:2. (337).

    https://www.mdpi.com/2079-9292/12/2/337

  • Chen J, Kuang J, Zhao G, Huang D and Young E. PROS 2.0: A Plug-In for Routability Optimization and Routed Wirelength Estimation Using Deep Learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2022.3168259. 42:1. (164-177).

    https://ieeexplore.ieee.org/document/9759464/

  • Lu R, Zhang W, Jiang L and Liu G. (2023). Slew-Driven Layer Assignment for Advanced Non-default-rule Wires. Web Information Systems and Applications. 10.1007/978-981-99-6222-8_45. (539-550).

    https://link.springer.com/10.1007/978-981-99-6222-8_45

  • Schlag S, Heuer T, Gottesbüren L, Akhremtsev Y, Schulz C and Sanders P. (2023). High-Quality Hypergraph Partitioning. ACM Journal of Experimental Algorithmics. 27. (1-39). Online publication date: 31-Dec-2022.

    https://doi.org/10.1145/3529090

  • Jing Y, Yang L, Zhuang Z, Liu G, Huang X, Liu W and Wang T. (2022). SPTA: A Scalable Parallel ILP-Based Track Assignment Algorithm with Two-Stage Partition 2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration (VLSI-SoC). 10.1109/VLSI-SoC54400.2022.9939624. 978-1-6654-9005-4. (1-6).

    https://ieeexplore.ieee.org/document/9939624/

  • Jiang L, Li Z, Bao C, Liu G, Huang X, Liu W and Wang T. (2022). LA-SVR: A High-Performance Layer Assignment Algorithm with Slew Violations Reduction 2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration (VLSI-SoC). 10.1109/VLSI-SoC54400.2022.9939586. 978-1-6654-9005-4. (1-6).

    https://ieeexplore.ieee.org/document/9939586/

  • Tziantzioulis G, Chang T, Balkind J, Tu J, Gao F and Wentzlaff D. OPDB: A Scalable and Modular Design Benchmark. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2021.3096794. 41:6. (1878-1887).

    https://ieeexplore.ieee.org/document/9481916/

  • Lee Y, Ruan S and Chen P. Predictable Coupling Effect Model for Global Placement Using Generative Adversarial Networks With an Ordinary Differential Equation Solver. IEEE Transactions on Circuits and Systems II: Express Briefs. 10.1109/TCSII.2021.3136084. 69:4. (2261-2265).

    https://ieeexplore.ieee.org/document/9653676/

  • Lin Y, Guo Z and Mai J. (2022). Deep Learning Framework for Placement. Machine Learning Applications in Electronic Design Automation. 10.1007/978-3-031-13074-8_9. (221-245).

    https://link.springer.com/10.1007/978-3-031-13074-8_9

  • Guo Z, Mai J and Lin Y. (2021). Ultrafast CPU/GPU Kernels for Density Accumulation in Placement 2021 58th ACM/IEEE Design Automation Conference (DAC). 10.1109/DAC18074.2021.9586149. 978-1-6654-3274-0. (1123-1128).

    https://ieeexplore.ieee.org/document/9586149/

  • Dash A and Ray B. (2021). 2D Greedy Algorithm for overlap removal for Mixed-Size Placement in VLSI 2021 19th OITS International Conference on Information Technology (OCIT). 10.1109/OCIT53463.2021.00017. 978-1-6654-1664-1. (26-31).

    https://ieeexplore.ieee.org/document/9719397/

  • Ghose A, Zhang V, Zhang Y, Li D, Liu W and Coates M. (2021). Generalizable Cross-Graph Embedding for GNN-based Congestion Prediction 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD). 10.1109/ICCAD51958.2021.9643446. 978-1-6654-4507-8. (1-9).

    https://ieeexplore.ieee.org/document/9643446/

  • Datta P and Mukherjee S. (2021). OptiPlace: optimized placement solution for mixed-size designs. Analog Integrated Circuits and Signal Processing. 10.1007/s10470-021-01864-5.

    https://link.springer.com/10.1007/s10470-021-01864-5

  • Lin Y, Jiang Z, Gu J, Li W, Dhar S, Ren H, Khailany B and Pan D. DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2020.3003843. 40:4. (748-761).

    https://ieeexplore.ieee.org/document/9122053/

  • Heuer T, Sanders P and Schlag S. (2019). Network Flow-Based Refinement for Multilevel Hypergraph Partitioning. ACM Journal of Experimental Algorithmics. 24. (1-36). Online publication date: 17-Dec-2019.

    https://doi.org/10.1145/3329872

  • Cheng C, Kahng A, Kang I and Wang L. RePlAce: Advancing Solution Quality and Routability Validation in Global Placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2018.2859220. 38:9. (1717-1730).

    https://ieeexplore.ieee.org/document/8418790/

  • Liu G, Zhuang Z, Guo W and Wang T. RDTA. Proceedings of the 2019 Great Lakes Symposium on VLSI. (315-318).

    https://doi.org/10.1145/3299874.3318026

  • Datta P and Mukherjee S. (2019). Routability-driven Placement for Mixed-size Designs using Design-hierarchy and Pin Information 2019 International Conference on Automation, Computational and Technology Management (ICACTM). 10.1109/ICACTM.2019.8776791. 978-1-5386-8010-0. (424-430).

    https://ieeexplore.ieee.org/document/8776791/

  • Jung J, Nam G, Reddy L, Jiang I and Shin Y. (2018). OWARU. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 37:9. (1825-1838). Online publication date: 1-Sep-2018.

    https://doi.org/10.1109/TCAD.2017.2774277

  • Andre R, Schlag S and Schulz C. Memetic multilevel hypergraph partitioning. Proceedings of the Genetic and Evolutionary Computation Conference. (347-354).

    https://doi.org/10.1145/3205455.3205475

  • Alpert C, Chow W, Han K, Kahng A, Li Z, Liu D and Venkatesh S. Prim-Dijkstra Revisited. Proceedings of the 2018 International Symposium on Physical Design. (10-17).

    https://doi.org/10.1145/3177540.3178239

  • Kahng A, Moyes C, Venkatesh S and Wang L. Wot the L. Proceedings of the 2018 International Symposium on Physical Design. (2-9).

    https://doi.org/10.1145/3177540.3178238

  • Wang Y and Shin H. (2017). Effective regularity extraction and placement techniques for datapath‐intensive circuits. IET Circuits, Devices & Systems. 10.1049/iet-cds.2016.0249. 11:5. (512-519). Online publication date: 1-Sep-2017.

    https://onlinelibrary.wiley.com/doi/10.1049/iet-cds.2016.0249

  • Shi D, Tashjian E and Davoodi A. Dynamic Planning of Local Congestion From Varying-Size Vias for Global Routing Layer Assignment. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2017.2695889. 36:8. (1301-1312).

    http://ieeexplore.ieee.org/document/7904612/

  • Gao W, Xu X, Xu L, Liu P and Gao T. (2017). A fast nonlinear placement algorithm to improve agricultural mechanization 2017 6th International Conference on Agro-Geoinformatics. 10.1109/Agro-Geoinformatics.2017.8047059. 978-1-5386-3884-2. (1-6).

    http://ieeexplore.ieee.org/document/8047059/

  • Gao W, Gao T, Zhao R, Wang L and Liang X. (2017). Routability-driven legalization algorithm with minimum total movement for supporting agricultural machinery design 2017 6th International Conference on Agro-Geoinformatics. 10.1109/Agro-Geoinformatics.2017.8047058. 978-1-5386-3884-2. (1-5).

    http://ieeexplore.ieee.org/document/8047058/

  • Shi D and Davoodi A. TraPL. Proceedings of the 54th Annual Design Automation Conference 2017. (1-6).

    https://doi.org/10.1145/3061639.3062335

  • Kang I and Cheng C. Physical Layout after Half a Century. Proceedings of the 2017 ACM on International Symposium on Physical Design. (123-128).

    https://doi.org/10.1145/3036669.3038251

  • He X, Wang Y, Guo Y and Young E. (2016). Ripple 2.0. ACM Transactions on Design Automation of Electronic Systems. 22:1. (1-26). Online publication date: 31-Jan-2017.

    https://doi.org/10.1145/2925989

  • Téllez G, Hu J and Wei Y. (2016). Routing. Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology. 10.1201/b19714-10. (183-216). Online publication date: 2-May-2016.

    http://www.crcnetbase.com/doi/10.1201/b19714-10

  • Luo G, Zhang W, Zhang J and Cong J. Scaling Up Physical Design. Proceedings of the 2016 on International Symposium on Physical Design. (131-137).

    https://doi.org/10.1145/2872334.2872342

  • Chow W and Young E. (2016). Placement: From Wirelength to Detailed Routability. IPSJ Transactions on System LSI Design Methodology. 10.2197/ipsjtsldm.9.2. 9:0. (2-12).

    https://www.jstage.jst.go.jp/article/ipsjtsldm/9/0/9_2/_article

  • Wong M, Liu W and Wang T. (2016). Negotiation-based track assignment considering local nets 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC). 10.1109/ASPDAC.2016.7428041. 978-1-4673-9569-4. (378-383).

    http://ieeexplore.ieee.org/document/7428041/

  • Shi D, Tashjian E and Davoodi A. (2016). Dynamic planning of local congestion from varying-size vias for global routing layer assignment 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC). 10.1109/ASPDAC.2016.7428040. 978-1-4673-9569-4. (372-377).

    http://ieeexplore.ieee.org/document/7428040/

  • Lin T, Chu C and Wu G. POLAR 3.0. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. (520-527).

    /doi/10.5555/2840819.2840892

  • Markov I, Hu J and Kim M. Progress and Challenges in VLSI Placement Research. Proceedings of the IEEE. 10.1109/JPROC.2015.2478963. 103:11. (1985-2003).

    http://ieeexplore.ieee.org/document/7295553/

  • Lin T, Chu C and Wu G. (2015). POLAR 3.0: An ultrafast global placement engine 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 10.1109/ICCAD.2015.7372614. 978-1-4673-8388-2. (520-527).

    http://ieeexplore.ieee.org/document/7372614/

  • Qi Z, Cai Y and Zhou Q. (2015). Design-Rule-Aware Congestion Model with Explicit Modeling of Vias and Local Pin Access Paths. Journal of Computer Science and Technology. 10.1007/s11390-015-1515-4. 30:3. (614-628). Online publication date: 1-May-2015.

    http://link.springer.com/10.1007/s11390-015-1515-4

  • Bustany I, Chinnery D, Shinnerl J and Yutsis V. ISPD 2015 Benchmarks with Fence Regions and Routing Blockages for Detailed-Routing-Driven Placement. Proceedings of the 2015 Symposium on International Symposium on Physical Design. (157-164).

    https://doi.org/10.1145/2717764.2723572

  • Matos J, Neutzling A, Ribas R and Reis A. A Benchmark Suite to Jointly Consider Logic Synthesis and Physical Design. Proceedings of the 2015 Symposium on International Symposium on Physical Design. (185-192).

    https://doi.org/10.1145/2717764.2717785

  • Brenner U, Hermann A, Hoppmann N and Ochsendorf P. BonnPlace. Proceedings of the 2015 Symposium on International Symposium on Physical Design. (9-16).

    https://doi.org/10.1145/2717764.2717778

  • Kim M, Hu J and Viswanathan N. ICCAD-2014 CAD contest in incremental timing-driven placement and benchmark suite. Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design. (361-366).

    /doi/10.5555/2691365.2691438

  • Kim M, Huj J and Viswanathan N. (2014). ICCAD-2014 CAD contest in incremental timing-driven placement and benchmark suite: Special session paper: CAD contest 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 10.1109/ICCAD.2014.7001376. 978-1-4799-6278-5. (361-366).

    http://ieeexplore.ieee.org/document/7001376/

  • Kennings A, Darav N and Behjat L. (2014). Detailed placement accounting for technology constraints 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC). 10.1109/VLSI-SoC.2014.7004188. 978-1-4799-6016-3. (1-6).

    http://ieeexplore.ieee.org/document/7004188/

  • Athikulwongse K, Ekpanyapong M and Lim S. Exploiting Die-to-Die Thermal Coupling in 3-D IC Placement. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10.1109/TVLSI.2013.2285593. 22:10. (2145-2155).

    http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6645461

  • Qi Z, Cai Y and Zhou Q. (2014). Accurate prediction of detailed routing congestion using supervised data learning 2014 32nd IEEE International Conference on Computer Design (ICCD). 10.1109/ICCD.2014.6974668. 978-1-4799-6492-5. (97-103).

    http://ieeexplore.ieee.org/document/6974668/

  • Yutsis V, Bustany I, Chinnery D, Shinnerl J and Liu W. ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement. Proceedings of the 2014 on International symposium on physical design. (161-168).

    https://doi.org/10.1145/2560519.2565877

  • Li S and Koh C. MIP-based detailed placer for mixed-size circuits. Proceedings of the 2014 on International symposium on physical design. (11-18).

    https://doi.org/10.1145/2560519.2560526

  • Wei Y, Sze C, Viswanathan N, Li Z, Alpert C, Reddy L, Huber A, Tellez G, Keller D and Sapatnekar S. (2014). Techniques for scalable and effective routability evaluation. ACM Transactions on Design Automation of Electronic Systems. 19:2. (1-37). Online publication date: 1-Mar-2014.

    https://doi.org/10.1145/2566663

  • Qi Z, Cai Y, Zhou Q, Li Z and Chen M. (2014). VFGR: A very fast parallel global router with accurate congestion modeling 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC). 10.1109/ASPDAC.2014.6742945. 978-1-4799-2816-3. (525-530).

    http://ieeexplore.ieee.org/document/6742945/

  • Kim M, Viswanathan N, Li Z and Alpert C. ICCAD-2013 CAD contest in placement finishing and benchmark suite. Proceedings of the International Conference on Computer-Aided Design. (268-270).

    /doi/10.5555/2561828.2561883

  • Qi Z, Cai Y and Zhou Q. Bridging the Gap between Global Routing and Detailed Routing. Proceedings of the 2013 International Conference on Computer-Aided Design and Computer Graphics. (74-80).

    https://doi.org/10.1109/CADGraphics.2013.17

  • Kim M, Viswanathan N, Li Z and Alpert C. (2013). ICCAD-2013 CAD contest in placement finishing and benchmark suite 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 10.1109/ICCAD.2013.6691130. 978-1-4799-1071-7. (268-270).

    http://ieeexplore.ieee.org/document/6691130/

  • Han Y, Chakraborty K and Roy S. (2013). A global router on GPU architecture 2013 IEEE 31st International Conference on Computer Design (ICCD). 10.1109/ICCD.2013.6657028. 978-1-4799-2987-0. (78-84).

    http://ieeexplore.ieee.org/document/6657028/

  • Kureichik V and Lisyak M. (2013). ASICPlacementAnalyzer: Software tool for data analysis and visualization of ASIC placement 2013 11th East-West Design and Test Symposium (EWDTS). 10.1109/EWDTS.2013.6673082. 978-1-4799-2096-9. (1-4).

    http://ieeexplore.ieee.org/document/6673082/

  • Liu W, Koh C and Li Y. Optimization of placement solutions for routability. Proceedings of the 50th Annual Design Automation Conference. (1-9).

    https://doi.org/10.1145/2463209.2488923

  • Hu J, Kim M and Markov I. Taming the complexity of coordinated place and route. Proceedings of the 50th Annual Design Automation Conference. (1-7).

    https://doi.org/10.1145/2463209.2488920

  • Liu W, Koh C and Li Y. Case study for placement solutions in ispd11 and dac12 routability-driven placement contests. Proceedings of the 2013 ACM International symposium on Physical Design. (114-119).

    https://doi.org/10.1145/2451916.2451944

  • Wei Y, Li Z, Sze C, Hu S, Alpert C and Sapatnekar S. CATALYST. Proceedings of the Conference on Design, Automation and Test in Europe. (1873-1878).

    /doi/10.5555/2485288.2485728

  • Ward S, Kim M, Viswanathan N, Li Z, Alpert C, Swartzlander E and Pan D. (2013). Structure-Aware Placement Techniques for Designs With Datapaths. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 32:2. (228-241). Online publication date: 1-Feb-2013.

    https://doi.org/10.1109/TCAD.2012.2233862

  • Cong J, Guojie Luo , Tsota K and Bingjun Xiao . (2013). Optimizing routability in large-scale mixed-size placement 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC 2013). 10.1109/ASPDAC.2013.6509636. 978-1-4673-3030-5. (441-446).

    http://ieeexplore.ieee.org/document/6509636/

  • Viswanathan N, Alpert C, Sze C, Li Z and Wei Y. ICCAD-2012 CAD contest in design hierarchy aware routability-driven placement and benchmark suite. Proceedings of the International Conference on Computer-Aided Design. (345-348).

    https://doi.org/10.1145/2429384.2429456

  • Alpert C, Li Z, Nam G, Sze C, Viswanathan N and Ward S. Placement. Proceedings of the International Conference on Computer-Aided Design. (283-290).

    https://doi.org/10.1145/2429384.2429442

  • Markov I, Hu J and Kim M. Progress and challenges in VLSI placement research. Proceedings of the International Conference on Computer-Aided Design. (275-282).

    https://doi.org/10.1145/2429384.2429441

  • Li Z, Alpert C, Nam G, Sze C, Viswanathan N and Zhou N. Guiding a physical design closure system to produce easier-to-route designs with more predictable timing. Proceedings of the 49th Annual Design Automation Conference. (465-470).

    https://doi.org/10.1145/2228360.2228442