[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/3615592.3616852acmconferencesArticle/Chapter ViewAbstractPublication PagesmobicomConference Proceedingsconference-collections
research-article

Aggressive SRAM Voltage Scaling and Error Mitigation for Approximate DNN Inference

Published: 02 October 2023 Publication History

Abstract

Reducing the power consumption of embedded devices is a well-known problem that has long been continuously investigated, even before the advent of wearable technology. In general, the software techniques' contribution to the history of low-power design can be summarized as making the program codes run faster. Recently emerging DNN-based applications on wearable devices allow multiple new ways to reduce power by leveraging the various power-performance trade-offs. One way to induce the trade-off is scaling down the supply voltage of the main memory. In this paper, we propose aggressively scaling down SRAM supply voltage and designing a bit-error mitigation framework to maintain DNN performance under low-voltage operation. We provide evidence showing the possibility of reducing power consumption while preserving the accuracy of a DNN classification model.

References

[1]
[n. d.]. Galaxy Fit 2 Description. hhttps://www.samsung.com/uk/watches/galaxy-fit/galaxy-fit2-scarlet-sm-r220nzraeua/. Accessed: 2023-06-22.
[2]
[n. d.]. Xiaomi Smart Band 7 Description. https://www.mi.com/global/product/xiaomi-smart-band-7/. Accessed: 2023-06-22.
[3]
Amit Agarwal, Bipul C Paul, Saibal Mukhopadhyay, and Kaushik Roy. 2005. Process variation in embedded memories: failure analysis and variation aware architecture. IEEE Journal of Solid-State Circuits 40, 9 (2005), 1804--1814.
[4]
Iljoo Baek, Wei Chen, Zhihao Zhu, Soheil Samii, and Raj Rajkumar. 2022. FT-DeepNets: Fault-Tolerant Convolutional Neural Networks with Kernel-based Duplication. In Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision. 975--984.
[5]
Luiz André Barroso, Urs Hölzle, and Parthasarathy Ranganathan. 2019. The datacenter as a computer: Designing warehouse-scale machines. Springer Nature.
[6]
Nandhini Chandramoorthy, Karthik Swaminathan, Martin Cochet, Arun Paidimarri, Schuyler Eldridge, Rajiv V Joshi, Matthew M Ziegler, Alper Buyuktosunoglu, and Pradip Bose. 2019. Resilient low voltage accelerators for high energy efficiency. In 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 147--158.
[7]
Tianqi Chen, Thierry Moreau, Ziheng Jiang, Lianmin Zheng, Eddie Yan, Meghan Cowan, Haichen Shen, Leyuan Wang, Yuwei Hu, Luis Ceze, et al. 2018. TVM: An automated end-to-end optimizing compiler for deep learning. arXiv preprint arXiv:1802.04799 (2018).
[8]
Weijian Chen, Zhi Qi, Zahid Akhtar, and Kamran Siddique. 2022. Resistive-RAM-Based In-Memory Computing for Neural Network: A Review. Electronics 11, 22 (2022), 3667.
[9]
JR Dinesh Kumar, C Ganesh Babu, VR Balaji, K Priyadharsini, and SP Karthi. 2021. Performance investigation of various SRAM cells for IoT based wearable biomedical devices. In Inventive Communication and Computational Technologies: Proceedings of ICICCT 2020. Springer, 573--588.
[10]
Chuhong Duan, Andreas J Gotterba, Mahmut E Sinangil, and Anantha P Chandrakasan. 2017. Energy-efficient reconfigurable SRAM: Reducing read power through data statistics. IEEE Journal of Solid-State Circuits 52, 10 (2017), 2703--2711.
[11]
Vikas Gupta and Chanderkant Verma. 2012. Error detection and correction: An introduction. International journal of advanced research in computer science and software engineering 2, 11 (2012), 212--218.
[12]
Song Han, Huizi Mao, and William J Dally. 2015. Deep compression: Compressing deep neural networks with pruning, trained quantization and huffman coding. arXiv preprint arXiv:1510.00149 (2015).
[13]
Kaiming He, Xiangyu Zhang, Shaoqing Ren, and Jian Sun. 2016. Deep residual learning for image recognition. In Proceedings of the IEEE conference on computer vision and pattern recognition. 770--778.
[14]
Benoit Jacob, Skirmantas Kligys, Bo Chen, Menglong Zhu, Matthew Tang, Andrew Howard, Hartwig Adam, and Dmitry Kalenichenko. 2018. Quantization and training of neural networks for efficient integer-arithmetic-only inference. In Proceedings of the IEEE conference on computer vision and pattern recognition. 2704--2713.
[15]
Sung Kim, Patrick Howe, Thierry Moreau, Armin Alaghi, Luis Ceze, and Visvesh Sathe. 2018. MATIC: Learning around errors for efficient low-voltage neural network accelerators. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1--6.
[16]
Alex Krizhevsky, Geoffrey Hinton, et al. 2009. Learning multiple layers of features from tiny images. (2009).
[17]
Yann LeCun, Bernhard Boser, John Denker, Donnie Henderson, Richard Howard, Wayne Hubbard, and Lawrence Jackel. 1989. Handwritten digit recognition with a back-propagation network. Advances in neural information processing systems 2 (1989).
[18]
Yann LeCun, Léon Bottou, Yoshua Bengio, and Patrick Haffner. 1998. Gradient-based learning applied to document recognition. Proc. IEEE 86, 11 (1998), 2278--2324.
[19]
Kyong Ho Lee and Naveen Verma. 2013. A low-power processor with configurable embedded machine-learning accelerators for high-order and adaptive analysis of medical-sensor signals. IEEE Journal of Solid-State Circuits 48, 7 (2013), 1625--1637.
[20]
Hao Li, Asim Kadav, Igor Durdanovic, Hanan Samet, and Hans Peter Graf. 2016. Pruning filters for efficient convnets. arXiv preprint arXiv:1608.08710 (2016).
[21]
Wenshuo Li, Xuefei Ning, Guangjun Ge, Xiaoming Chen, Yu Wang, and Huazhong Yang. 2020. FTT-NAS: Discovering fault-tolerant neural architecture. In 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, 211--216.
[22]
Johnson Loh, Jianan Wen, and Tobias Gemmeke. 2020. Low-cost dnn hardware accelerator for wearable, high-quality cardiac arrythmia detection. In 2020 IEEE 31st International Conference on Application-specific Systems, Architectures and Processors (ASAP). IEEE, 213--216.
[23]
Rahul Mishra, Hari Prabhat Gupta, and Tanima Dutta. 2020. A survey on deep neural network compression: Challenges, overview, and solutions. arXiv preprint arXiv:2010.03954 (2020).
[24]
Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand, and Kaushik Roy. 2004. Modeling and estimation of failure probability due to parameter variations in nano-scale SRAMs for yield enhancement. In 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No. 04CH37525). IEEE, 64--67.
[25]
Indrani Paul, Wei Huang, Manish Arora, and Sudhakar Yalamanchili. 2015. Harmonia: Balancing compute and memory power in high-performance gpus. ACM SIGARCH Computer Architecture News 43, 3S (2015), 54--65.
[26]
Brandon Reagen, Paul Whatmough, Robert Adolf, Saketh Rama, Hyunkwang Lee, Sae Kyu Lee, José Miguel Hernández-Lobato, Gu-Yeon Wei, and David Brooks. 2016. Minerva: Enabling low-power, highly-accurate deep neural network accelerators. ACM SIGARCH Computer Architecture News 44, 3 (2016), 267--278.
[27]
Albert Reuther, Peter Michaleas, Michael Jones, Vijay Gadepally, Siddharth Samsi, and Jeremy Kepner. 2019. Survey and benchmarking of machine learning accelerators. In 2019 IEEE high performance extreme computing conference (HPEC). IEEE, 1--9.
[28]
Shikha Saun and Hemant Kumar. 2019. Design and performance analysis of 6T SRAM cell on different CMOS technologies with stability characterization. In IOP conference series: materials science and engineering, Vol. 561. IOP Publishing, 012093.
[29]
Karen Simonyan and Andrew Zisserman. 2014. Very deep convolutional networks for large-scale image recognition. arXiv preprint arXiv:1409.1556 (2014).
[30]
David Stutz, Nandhini Chandramoorthy, Matthias Hein, and Bernt Schiele. 2021. Bit error robustness for energy-efficient dnn accelerators. Proceedings of Machine Learning and Systems 3 (2021), 569--598.
[31]
Xinghai Tang, Vivek K De, and James D Meindl. 1997. Intrinsic MOS-FET parameter fluctuations due to random dopant placement. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 5, 4 (1997), 369--376.
[32]
Zain Taufique, Bingzhao Zhu, Gianluca Coppola, Mahsa Shoaran, Wala Saadeh, and Muhammad Awais Bin Altaf. 2021. An 8.7 μJ/class. FFT accelerator and DNN-based configurable SoC for Multi-Class Chronic Neurological Disorder Detection. In 2021 IEEE Asian Solid-State Circuits Conference (A-SSCC). IEEE, 1--3.
[33]
Amr MS Tosson, Shimeng Yu, Mohab H Anis, and Lan Wei. 2017. Analysis of RRAM reliability soft-errors on the performance of RRAM-based neuromorphic systems. In 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). IEEE, 62--67.
[34]
Malcolm Ware, Karthick Rajamani, Michael Floyd, Bishop Brock, Juan C Rubio, Freeman Rawson, and John B Carter. 2010. Architecting for power management: The IBM® POWER7™ approach. In HPCA-16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture. IEEE, 1--11.
[35]
H-S Philip Wong, Heng-Yuan Lee, Shimeng Yu, Yu-Sheng Chen, Yi Wu, Pang-Shiu Chen, Byoungil Lee, Frederick T Chen, and Ming-Jinn Tsai. 2012. Metal--oxide RRAM. Proc. IEEE 100, 6 (2012), 1951--1970.
[36]
Ussama Zahid, Giulio Gambardella, Nicholas J Fraser, Michaela Blott, and Kees Vissers. 2020. FAT: Training neural networks for reliable inference under hardware faults. In 2020 IEEE International Test Conference (ITC). IEEE, 1--10.

Index Terms

  1. Aggressive SRAM Voltage Scaling and Error Mitigation for Approximate DNN Inference

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      SmartWear '23: Proceedings of the 2nd Workshop on Smart Wearable Systems and Applications
      October 2023
      38 pages
      ISBN:9798400703430
      DOI:10.1145/3615592
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 02 October 2023

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Fault Tolerance
      2. Power Consumption

      Qualifiers

      • Research-article
      • Research
      • Refereed limited

      Conference

      ACM MobiCom '23
      Sponsor:

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • 0
        Total Citations
      • 72
        Total Downloads
      • Downloads (Last 12 months)43
      • Downloads (Last 6 weeks)3
      Reflects downloads up to 09 Dec 2024

      Other Metrics

      Citations

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media