[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/3061639.3062303acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Power and Area Efficient Hold Time Fixing by Free Metal Segment Allocation

Published: 18 June 2017 Publication History

Abstract

Hold time fixing ensures correct data synchronization, which is essential and serves as the final step of timing closure for IC design. Conventionally, buffer insertion is adopted to fix hold time violations; buffers, however, induce routing difficulty, increase area utilization, and contribute leakage power. Therefore, in this paper, we propose to fix hold time violations by free metal segment allocation for achieving leakage power efficiency and maintaining utilization for mobile and portable devices. At the final step of timing closure, free metal segments and hold violating nets are both fragmented and scattered over the design. We thus partition a design and perform minimum cost network flow to assign proper free metal segments to hold violating nets. Our experiments are conducted on six industrial smartphone designs with TSMC 16nm process, and our results show that compared with the conventional buffer insertion method, our approach can reduce 37% hold time buffer area, promising for saving leakage power and maintaining area utilization---suited to the final step of timing closure.

References

[1]
J. P. Fishburn, "Clock skew optimization," IEEE TC, vol. 39, no. 7, pp. 945--951, July 1990.
[2]
R. B. Deokar and S. S. Sapatnekar, "A graph-theoretic approach to clock skew optimization," in Proc. ISCAS, 1994, pp. 407--410.
[3]
S.-H. Huang, C.-H. Cheng, C.-M. Chang and Y.-T. Nieh, "Clock period minimization with minimum delay insertion," in Proc. DAC, June 2007, pp. 970--975.
[4]
W.-P. Tu, C. H. Chou, S.-H. Huang, S.-C. Chang, Y.-T. Nieh and C.-Y. Chou, "Low-power timing closure methodology for ultra-low voltage designs," in Proc. ICCAD, Nov. 2013, pp. 697--704.
[5]
S. Yoshikawa, "Hold time error correction method and correction program for integrated circuits," US Patent no. 6,990,646, Jan. 2006.
[6]
Y. Sun, J. Gong and C.-T. Chen, "Method and apparatus for fixing hold time violations in a circuit design," US Patent no. 7,278,126, Oct. 2007.
[7]
Y. Liu, F. Yuan and Q. Xu, "Re-synthesis for cost-efficient circuit-level timing speculation," in Proc. DAC, June 2011, pp. 158--163.
[8]
N. V. Shenoy, R. K. Brayton and A. L. Sangiovanni-Vincentelli, "Minimum padding to satisfy short path constraints," in Proc. ICCAD, 1993, pp. 156--161.
[9]
P.-C. Wu, M. D. F. Wong, I. Nedelchev, S. Bhardwaj and V. Parkhe, "On timing closure: Buffer insertion for hold-violation removal," in Proc. DAC, June 2014, pp. 1--6.
[10]
Y.-M. Yang, I. H.-R. Jiang and S.-T. Ho, "PushPull: Short-path padding for timing error resilient circuits," IEEE TCAD, vol. 33, no. 4, pp. 558--570, Apr. 2014.
[11]
T. Xiao, H. Bagga, George J. Chen, R. Cheung, R. Pattipati, "Path aware event scheduler in HoldAdvisor for fixing min timing violations," in Proc. ICCD, Oct. 2011, pp. 71--77.
[12]
I. Han, D. Hyun and Y. Shin, "Buffer insertion to remove hold violations at multiple process corners," in Proc. ASP-DAC, Jan. 2016, pp. 232--237.
[13]
M.-K. Hsu, N. Katta, H. Y.-H. Lin, K. T.-H. Lin, K. H. Tam, and K. C.-H. Wang, "Design and manufacturing process co-optimization in nanotechnology," in Proc. ICCAD, Nov. 2014, pp. 574--581.
[14]
W.-H. Liu, and Y.-L. Li, "Optimizing the antenna area and separators in layer assignment of multi-layer global routing," in Proc. ISPD, Mar. 2012, pp. 137--144.
[15]
R. Rojas. 1996. Neural Networks: A Systematic Introduction. Springer, Berlin.
[16]
S. Onaissi, F. Taraporevala, J. Liu and F. Najm, "A fast approach for static timing analysis covering all PVT corners," in Proc. DAC, June 2011, pp. 777--782.
[17]
S. Sripada and M. Palla, "A timing graph based approach to mode merging," in Proc. DAC, June 2015, pp. 1--6.
[18]
C.-P. Lu, M. C.-T. Chao, C.-H. Lo, and C.-W. Chang, "A metal-only-ECO solver for input-slew and output-loading violations," in Proc. ISPD, Mar. 2009, pp. 191--198.
[19]
A. V. Goldberg and R. E. Tarjan, "Finding minimum-cost circulations by canceling negative cycles," J. ACM, vol. 36, no. 4, pp. 873--886, 1989.
[20]
LEMON graph library 1.3.1. Available at: https://lemon.cs.elte.hu/
[21]
IC Compiler, Synopsys, Inc.
[22]
PrimeTime, Synopsys, Inc.
  1. Power and Area Efficient Hold Time Fixing by Free Metal Segment Allocation

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '17: Proceedings of the 54th Annual Design Automation Conference 2017
    June 2017
    533 pages
    ISBN:9781450349277
    DOI:10.1145/3061639
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 18 June 2017

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Timing closure
    2. free metal segments
    3. hold time fixing

    Qualifiers

    • Research-article
    • Research
    • Refereed limited

    Conference

    DAC '17
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 102
      Total Downloads
    • Downloads (Last 12 months)5
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 11 Dec 2024

    Other Metrics

    Citations

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media