[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/1687399.1687459acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

A hybrid local-global approach for multi-core thermal management

Published: 02 November 2009 Publication History

Abstract

Multi-core processors have become an integral part of mainstream high performance computer systems. In parallel, exponentially increasing power density and packaging costs have necessitated system level thermal management solutions for multi-core systems. Dynamic thermal management (DTM) techniques monitor on-chip temperature continuously and typically employs dynamic voltage and frequency scaling (DVFS) to lower the temperature when it exceeds a pre-defined threshold. State-of-the-art DTM solutions for multi-core systems include distributed DVFS (where each core can scale the voltage/frequency individually) and global DVFS (where all cores scale voltage/frequency simultaneously). Distributed DVFS generally offers higher performance than global DVFS, but it is hard to implement and has major scalability issues.
We propose a hybrid local-global thermal management approach for multi-core systems that offers better performance than distributed DVFS, while maintaining the simplicity of global DVFS. We employ global DVFS across all the cores but locally tune the performance of each core individually through architectural adaptations. We exploit easily reconfigurable micro-architecture parameters such as instruction window size, issue width, and fetch throttling in per-core thermal management. Our hybrid solution is easy to implement and highly effective towards temperature management. The key challenge is appropriate choice of configurations at runtime to provide optimal performance under thermal constraints. We formulate it as a configuration search problem and design an efficient software-based solution that selects the appropriate configuration. Our hybrid method, though simpler to implement, achieves 5% better throughput compared to distributed DVFS.

References

[1]
Matlab Neural Network Toolbox. www.mathworks.com/access/helpdesk/help/pdf_doc/nnet/nnet.pdf.
[2]
SPEC CPU 2000 Benchmark Suite. http://www.spec.org/cpu2000/.
[3]
D. H. Albonesi et al. Dynamically Tuning Processor Resources with Adaptive Processing. IEEE Computer, 36(12), 2003.
[4]
T. Austin, E. Larson, and D. Ernst. SimpleScalar: An Infrastructure for Computer System Modeling. IEEE Computer, 35(2), 2002.
[5]
D. Brooks and M. Martonosi. Dynamic Thermal Management for High-Performance Microprocessors. In HPCA 2001.
[6]
D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A Framework for Architectural-level Power Analysis and Optimizations. In ISCA 2000.
[7]
A. Buyuktosunoglu et al. A Circuit Level Implementation of an Adaptive Issue Queue for Power-Aware Microprocessors. In GLSVLSI, 2001.
[8]
A. S. Dhodapkar and J. E. Smith. Managing multi-configuration hardware via dynamic working set analysis. SIGARCH Computer Architecture News, 30(2), 2002.
[9]
J. Donald and M. Martonosi. Techniques for Multicore Thermal Management: Classification and New Exploration. In ISCA 2006.
[10]
M. Gomaa, M. D. Powell, and T. N. Vijaykumar. Heat-and-Run: Leveraging SMT and CMP to manage power density through the operating system. In ASPLOS, 2004.
[11]
R. Jayaseelan and T. Mitra. Dynamic thermal management via architectural adaptation. In DAC 2009.
[12]
T. S. Karkhanis and J. E. Smith. Automated Design of Application Specific Superscalar Processors: An Analytical Approach. In ISCA 2007.
[13]
T. S. Karkhanis and J. E. Smith. A First-Order Superscalar Processor Model. In ISCA 2004.
[14]
W. Kim et al. System level analysis of fast, per-core DVFS using on-chip switching regulators. In HPCA, 2008.
[15]
C. A. Kivilcim, R. T. Simunic, and G. Kenny C. Proactive temperature balancing for low cost thermal management in mpsocs. In ICCAD, 2008.
[16]
E. Perelman, G Hamerly, and B Calder. Picking statistically valid and early simulation points. In PACT, 2003.
[17]
R. Sasanka, C. J. Hughes, and S. V. Adve. Joint Local and Global Hardware Adaptations for Energy. In ASPLOS 2002.
[18]
K. Skadron. Hybrid Architectural Dynamic Thermal Management. In DATE 2004.
[19]
K. Skadron et al. Temperature-aware Microarchitecture: Modeling and Implementation. ACM TACO, 1(1), 2004.
[20]
J. Srinivasan and S. V. Adve. Predictive Dynamic Thermal Management for Multimedia Applications. In ICS 2003.

Cited By

View all
  • (2020)DVFS and Its Architectural Simulation Models for Improving Energy Efficiency of Complex Embedded Systems in Early Design PhaseComputers10.3390/computers90100029:1(2)Online publication date: 7-Jan-2020
  • (2017)Energy-Efficient Resource Utilization for Heterogeneous Embedded Computing SystemsIEEE Transactions on Computers10.1109/TC.2017.269318666:9(1518-1531)Online publication date: 1-Sep-2017
  • (2017)Analysis of Power Management Techniques in Multicore ProcessorsArtificial Intelligence and Evolutionary Computations in Engineering Systems10.1007/978-981-10-3174-8_35(397-418)Online publication date: 13-Jul-2017
  • Show More Cited By

Index Terms

  1. A hybrid local-global approach for multi-core thermal management

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided Design
    November 2009
    803 pages
    ISBN:9781605588001
    DOI:10.1145/1687399
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 02 November 2009

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. architecture adaptation
    2. dynamic thermal management (DTM)
    3. global DVFS
    4. multi-core

    Qualifiers

    • Research-article

    Conference

    ICCAD '09
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)7
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 10 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2020)DVFS and Its Architectural Simulation Models for Improving Energy Efficiency of Complex Embedded Systems in Early Design PhaseComputers10.3390/computers90100029:1(2)Online publication date: 7-Jan-2020
    • (2017)Energy-Efficient Resource Utilization for Heterogeneous Embedded Computing SystemsIEEE Transactions on Computers10.1109/TC.2017.269318666:9(1518-1531)Online publication date: 1-Sep-2017
    • (2017)Analysis of Power Management Techniques in Multicore ProcessorsArtificial Intelligence and Evolutionary Computations in Engineering Systems10.1007/978-981-10-3174-8_35(397-418)Online publication date: 13-Jul-2017
    • (2017)An Efficient Dynamic Scheduling of Tasks for Multicore Real-Time SystemsAdvances in Computing Applications10.1007/978-981-10-2630-0_3(31-47)Online publication date: 20-Jan-2017
    • (2016)Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core MicroprocessorsACM Transactions on Design Automation of Electronic Systems10.1145/289140922:1(1-21)Online publication date: 10-Aug-2016
    • (2016)Task allocation for thermal optimization in multicore systems2016 IEEE 12th International Conference on Intelligent Computer Communication and Processing (ICCP)10.1109/ICCP.2016.7737172(349-352)Online publication date: Sep-2016
    • (2016)A lagrangian propagator for artificial neural networks in constraint programmingConstraints10.1007/s10601-015-9234-621:4(435-462)Online publication date: 1-Oct-2016
    • (2016)ReferencesModeling and Optimization of Parallel and Distributed Embedded Systems10.1002/9781119086383.refs(349-368)Online publication date: 8-Jan-2016
    • (2015)ChryssoProceedings of the 12th ACM International Conference on Computing Frontiers10.1145/2742854.2742885(1-8)Online publication date: 6-May-2015
    • (2015)Hybrid Monitoring Proposal for Wireless Sensor NetworkProceedings of the 2015 Asia-Pacific Conference on Computer Aided System Engineering10.1109/APCASE.2015.63(320-324)Online publication date: 14-Jul-2015
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media