[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/1013235.1013273acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Soft error and energy consumption interactions: a data cache perspective

Published: 09 August 2004 Publication History

Abstract

Energy-efficiency and reliability are two major design constraints influencing next generation system designs. In this work, we focus on the interaction between power consumption and reliability considering the on-chip data caches. First, we investigate the impact of two commonly used architectural-level leakage reduction approaches on the data reliability. Our results indicate that the leakage optimization techniques can have very different reliability behavior as compared to an original cache with no leakage optimizations. Next, we investigate on providing data reliability in an energy efficient fashion in the presence of soft-errors. In contrast to current commercial caches that treat and protect all data using the same error detection/correction mechanism, we present an adaptive error coding scheme that treats dirty and clean data cache blocks differently. Furthermore, we present an early-write-back scheme that enhances the ability to use a less powerful error protection scheme for a longer time without sacrificing reliability. Experimental results show that proposed schemes, when used in conjunction, can reduce dynamic energy of error protection components in L1 data cache by 11% on average without impacting the performance or reliability.

References

[1]
SPEC CPU2000 benchmark. http://www.spec.org/.
[2]
A. Agarwal, H. Li, and K. Roy. DRG-cache: a data retention gated-ground cache for low power. In Proc. of the 39th Design Automation Conference, pages 473--478, 2002.
[3]
N. Azizi, A. Moshovos, and F. N. Najm. Low-leakage asymmetric-cell SRAM. In Proc. of ISLPED, pages 48--51, 2002.
[4]
R. Baumann. The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction. In Digest of International Electron Devices Meeting, pages 329--332, 2002.
[5]
D. C. Burger and T. M. Austin. The SimpleScalar tool-set, Version 2.0. Technical Report 1342, Dept. of Computer Science, UW, June 1997.
[6]
J. A. Butts and G. S. Sohi. A static power model for architects. In Proc. of the 33rd International Symposium on Microarchitecture, pages 191--201, 2000.
[7]
V. Degalahal, N. Vijaykrishnan, and M. J. Irwin. Analyzing soft errors in leakage optimized SRAM designs. In Proc. of 6th International Conference on VLSI Design, 2003.
[8]
K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge. Drowsy caches: simple techniques for reducing leakage power. In Proc. of the 29th annual International Symposium on Computer Architecture, pages 148--157, 2002.
[9]
P. Hazucha and C. Svensson. Impact of CMOS technology scaling on the atmospheric neutron soft error rate. IEEE Transactions on Nuclear Science, 47(6), 2000.
[10]
Z. Hu, P. Juang, P. Diodato, S. Kaxiras, K. Skadron, M. Martonosi, and D. Clark. Managing leakage for transient data: decay and quasi-static 4T memory cells. In Proc. of ISLPED, pages 52--55, 2002.
[11]
T. Karnik, B. Bloechel, K. Soumyanath, V. De, and S. Borkar. Scaling trends of cosmic ray induced soft errors in static latches beyond 0.18u. In Digest of Technical Papers of Symposium on VLSI Circuits, pages 61--62, 2001.
[12]
S. Kaxiras, Z. Hu, and M. Martonosi. Cache decay: exploiting generational behavior to reduce cache leakage power. In Proc. of the 28th annual International Symposium on Computer Architecture, pages 240--251, 2001.
[13]
J. Maiz, S. Hareland, K. Zhang, and P. Armstrong. Characterization of multi-bit soft error events in advanced SRAMs. In Digest of International Electron Devices Meeting, 2003.
[14]
M. Powell, S. Yang, B. Falsafi, K. Roy, and N. Vijaykumar. Reducing leakage in a high-performance deep-submicron instruction cache. IEEE Transactions on Very Large Scale Integration Systems, 9(1):77--89, 2001.
[15]
N. Seifert, D. Moyer, N. Leland, and R. Hokinson. Historical trend in alpha-particle induced soft error rates of the AlphaTM microprocessor. In Proc. of 39th International Reliability Physics Symposium, pages 259--265, 2001.
[16]
K. Skadron and D. W. Clark. Design issues and tradeoffs for write buffers. In Proc. of the Third International Symposium on High-Performance Computer Architecture, pages 144--155, 1997.
[17]
A. K. Somani and S. Kim. Area efficient architectures for information integrity checking in cache memories. In Proc. of International Symposium on Computer Architecture, pages 246--256, 1999.
[18]
F. Wrobel, J.-M. Palau, M.-C. Calvet, O. Bersillon, and H. Duarte. Simulation of nucleon-induced nuclear reactions in a simplified SRAM structure: scaling effects on SEU and MBU cross sections. IEEE Transactions on Nuclear Science, 48(6):1946--1952, 2001.
[19]
W. Zhang, S. Gurumurthi, M. Kandemir, and A. Sivasubramaniam. ICR: in-cache replication for enhancing data cache reliability. In Proc. of the International Conference on Dependable Systems and Networks, 2003.
[20]
J. Ziegler. Terrestrial cosmic ray intensities. IBM Journal of Research and Development, 40(1):19--39, 1996.

Cited By

View all
  • (2024)Survey on Redundancy Based-Fault tolerance methods for Processors and Hardware accelerators - Trends in Quantum Computing, Heterogeneous Systems and ReliabilityACM Computing Surveys10.1145/366367256:11(1-76)Online publication date: 6-May-2024
  • (2024)Compiler-Directed Whole-System Persistence2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00074(961-977)Online publication date: 29-Jun-2024
  • (2023)Persistent Processor ArchitectureProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3623772(1075-1091)Online publication date: 28-Oct-2023
  • Show More Cited By

Index Terms

  1. Soft error and energy consumption interactions: a data cache perspective

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '04: Proceedings of the 2004 international symposium on Low power electronics and design
      August 2004
      414 pages
      ISBN:1581139292
      DOI:10.1145/1013235
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 09 August 2004

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. data cache
      2. energy-efficiency
      3. soft error

      Qualifiers

      • Article

      Conference

      ISLPED04
      Sponsor:
      ISLPED04: International Symposium on Low Power Electronics and Design
      August 9 - 11, 2004
      California, Newport Beach, USA

      Acceptance Rates

      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)9
      • Downloads (Last 6 weeks)3
      Reflects downloads up to 11 Dec 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Survey on Redundancy Based-Fault tolerance methods for Processors and Hardware accelerators - Trends in Quantum Computing, Heterogeneous Systems and ReliabilityACM Computing Surveys10.1145/366367256:11(1-76)Online publication date: 6-May-2024
      • (2024)Compiler-Directed Whole-System Persistence2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00074(961-977)Online publication date: 29-Jun-2024
      • (2023)Persistent Processor ArchitectureProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3623772(1075-1091)Online publication date: 28-Oct-2023
      • (2023)Write-Light Cache for Energy Harvesting SystemsProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589098(1-13)Online publication date: 17-Jun-2023
      • (2022)MLFTCache: Multilevel Fault Tolerance Scheme for Write-Back L2 Cache Under IrradiationIEEE Transactions on Nuclear Science10.1109/TNS.2022.315180569:5(1182-1192)Online publication date: May-2022
      • (2021)Characterizing System-Level Masking Effects against Soft ErrorsElectronics10.3390/electronics1018228610:18(2286)Online publication date: 17-Sep-2021
      • (2020)Recovery algorithm to correct silent data corruption of synaptic storage in convolutional neural networksInternational Journal of Hybrid Intelligent Systems10.3233/HIS-200278(1-11)Online publication date: 3-Aug-2020
      • (2020)ECC-United Cache: Maximizing Efficiency of Error Detection/Correction Codes in Associative Cache MemoriesIEEE Transactions on Computers10.1109/TC.2020.2994067(1-1)Online publication date: 2020
      • (2019)32-Bit One Instruction Core: A Low-Cost, Reliable, and Fault-„Tolerant Core for Multicore SystemsJournal of Testing and Evaluation10.1520/JTE2018049247:6(20180492)Online publication date: 31-Jan-2019
      • (2019)Architectural-Space Exploration of Heterogeneous Reliability and Checkpointing Modes for Out-of-Order Superscalar ProcessorsIEEE Access10.1109/ACCESS.2019.29456227(145324-145339)Online publication date: 2019
      • Show More Cited By

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media