[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/3470496.3527424acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

EDAM: edit distance tolerant approximate matching content addressable memory

Published: 11 June 2022 Publication History

Abstract

We propose a novel edit distance-tolerant content addressable memory (EDAM) for energy-efficient approximate search applications. Unlike state-of-the-art approximate search solutions that tolerate certain Hamming distance between the query pattern and the stored data, EDAM tolerates edit distance, which makes it especially efficient in applications such as text processing and genome analysis. EDAM was designed using a commercial 65 nm 1.2 V CMOS technology and evaluated through extensive Monte Carlo simulations, while considering different process corners. Simulation results show that EDAM can achieve robust approximate search operation with a wide range of edit distance threshold levels. EDAM is functionally evaluated as a pathogen DNA detection and classification accelerator. EDAM achieves up to 1.7× higher F1 score for high-quality DNA reads and up to 19.55× higher F1 score for DNA reads with 15% error rate, compared to state-of-the-art DNA classification tool Kraken2. Simulated at 667 MHz, EDAM provides 1, 214× average speedup over Kraken2. This makes EDAM suitable for hardware acceleration of genomic surveillance of outbreaks, such as the ongoing Covid-19 pandemic.

References

[1]
Pablo Acera Mateos, Renzo F Balboa, Simon Easteal, Eduardo Eyras, and Hardip R Patel. 2021. PACIFIC: a lightweight deep-learning classifier of SARS-CoV-2 and co-infecting RNA viruses. Scientific reports 11, 1 (2021), 1--14.
[2]
Amit Agarwal, Steven Hsu, Sanu Mathew, Mark Anders, Himanshu Kaul, Farhana Sheikh, and Ram Krishnamurthy. 2011. A 128× 128b high-speed wide-and match-line content addressable memory in 32nm CMOS. In 2011 Proceedings of the ESSCIRC (ESSCIRC). 83--86.
[3]
Mustafa Ali, Amogh Agrawal, and Kaushik Roy. 2020. RAMANN: In-SRAM Differentiable Memory Computations for Memory-Augmented Neural Networks. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (Boston, Massachusetts) (ISLPED '20). Association for Computing Machinery, New York, NY, USA, 61--66.
[4]
Stephen F Altschul, Warren Gish, Webb Miller, Eugene W Myers, and David J Lipman. 1990. Basic local alignment search tool. Journal of molecular biology 215, 3 (1990), 403--410.
[5]
Stephen Armstrong. 2020. Covid-19: Government buried negative data on its favoured antibody test. BMJ: British Medical Journal (Online) 371 (2020).
[6]
Igor Arsovski, Akhilesh Patil, Robert M. Houle, Michael T. Fragano, Ramon Rodriguez, Raymond Kim, and Van Butler. 2018. 1.4Gsearch/s 2-Mb/mm2 TCAM Using Two-Phase-Pre-Charge ML Sensing and Power-Grid Pre-Conditioning to Reduce Ldi/dt Power-Supply Noise by 50%. IEEE Journal of Solid-State Circuits 53, 1 (2018), 155--163.
[7]
I Made Artika, Ageng Wiyatno, and Chairin Nisa Ma'roef. 2020. Pathogenic viruses: Molecular detection and characterization. Infection, Genetics and Evolution 81 (2020), 104215.
[8]
Vikas Bansal and Christina Boucher. 2019. Sequencing technologies and analyses: where have we been and where are we going?
[9]
Andreas V Bechtolsheim and David R Cheriton. 2002. Access control list processing in hardware. US Patent 6,377,577.
[10]
Joshua S. Bloom, Laila Sathe, Chetan Munugala, Eric M. Jones, Molly Gasperini, Nathan B. Lubock, Fauna Yarza, Erin M. Thompson, Kyle M. Kovary, Jimin Park, Dawn Marquette, Stephania Kay, Mark Lucas, TreQuan Love, A. Sina Booeshaghi, Oliver F. Brandenberg, Longhua Guo, James Boocock, Myles Hochman, Scott W. Simpkins, Isabella Lin, Nathan LaPierre, Duke Hong, Yi Zhang, Gabriel Oland, Bianca Judy Choe, Sukantha Chandrasekaran, Evann E. Hilt, Manish J. Butte, Robert Damoiseaux, Clifford Kravit, Aaron R. Cooper, Yi Yin, Lior Pachter, Omai B. Garner, Jonathan Flint, Eleazar Eskin, Chongyuan Luo, Sriram Kosuri, Leonid Kruglyak, and Valerie A. Arboleda. 2020. Swab-Seq: A high-throughput platform for massively scaled up SARS-CoV-2 testing. (Aug. 2020).
[11]
Arthur Brady and Steven L Salzberg. 2009. Phymm and PhymmBL: metagenomic phylogenetic classification with interpolated Markov models. Nature methods 6, 9 (2009), 673--676.
[12]
Trong Tu Bui and Tadashi Shibata. 2010. A Low-Power Associative Processor with the R-th Nearest-Match Hamming-Distance Search Engine Employing Time-Domain Techniques. In 2010 Fifth IEEE International Symposium on Electronic Design, Test Applications. 54--57.
[13]
Oscar Castañeda, Maria Bobbett, Alexandra Gallyas-Sanhueza, and Christoph Studer. 2019. PPAC: A versatile in-memory accelerator for matrix-vector-productlike operations. In 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP), Vol. 2160. IEEE, 149--156.
[14]
Yun-Sheng Chan, Po-Tsang Huang, Shang-Lin Wu, Sheng-Chi Lung, Wei-Chang Wang, Wei Hwang, and Ching-Te Chuang. 2018. 0.4V Reconfigurable Near-Threshold TCAM in 28nm High-k Metal-Gate CMOS Process. In 2018 31st IEEE International System-on-Chip Conference (SOCC). 272--277.
[15]
Yen-Jen Chang and Yuan-Hong Liao. 2008. Hybrid-Type CAM Design for Both Power and Performance Efficiency. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16, 8 (2008), 965--974.
[16]
H Jonathan Chao. 2002. Next generation routers. Proc. IEEE 90, 9 (2002), 1518--1558.
[17]
Kuo-Hsing Cheng, Chia-Hung Wei, and Yu-Wen Chen. 2003. Design of low-power content-addressable memory cell. In 2003 46th Midwest Symposium on Circuits and Systems, Vol. 3. 1447--1450 Vol. 3.
[18]
Peter JA Cock, Christopher J Fields, Naohisa Goto, Michael L Heuer, and Peter M Rice. 2010. The Sanger FASTQ file format for sequences with quality scores, and the Solexa/Illumina FASTQ variants. Nucleic acids research 38, 6 (2010), 1767--1771.
[19]
Carlo C Del Mundo, Vincent T Lee, Luis Ceze, and Mark Oskin. 2015. Ncam: Near-data processing for nearest neighbor search. In Proceedings of the 2015 International Symposium on Memory Systems. 274--275.
[20]
Anh-Tuan Do, Shoushun Chen, Zhi-Hui Kong, and Kiat Seng Yeo. 2013. A High Speed Low Power CAM With a Parity Bit and Power-Gated ML Sensing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21, 1 (2013), 151--156.
[21]
Anh Tuan Do, Chun Yin, Kiat Seng Yeo, and Tony Tae-Hyoung Kim. 2013. Design of a power-efficient CAM using automated background checking scheme for small match line swing. In 2013 Proceedings of the ESSCIRC (ESSCIRC). 209--212.
[22]
Qing Dong, Supreet Jeloka, Mehdi Saligane, Yejoong Kim, Masaru Kawaminami, Akihiko Harada, Satoru Miyoshi, Makoto Yasuda, David Blaauw, and Dennis Sylvester. 2018. A 4 + 2T SRAM for Searching and In-Memory Computing With 0.3-V VDDmin. IEEE Journal of Solid-State Circuits 53, 4 (2018), 1006--1015.
[23]
Marc Gregory Dumont, Claudia Lüke, Yongcui Deng, and Peter Frenzel. 2014. Classification of pmoA amplicon pyrosequences using BLAST and the lowest common ancestor method in MEGAN. Frontiers in Microbiology 5 (2014), 34.
[24]
Tim Dunn, Harisankar Sadasivan, Jack Wadden, Kush Goliya, Kuan-Yu Chen, David Blaauw, Reetuparna Das, and Satish Narayanasamy. 2021. SquiggleFilter: An Accelerator for Portable Virus Detection. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture. 535--549.
[25]
Wanling Gao, Yuqing Zhu, Zhen Jia, Chunjie Luo, Lei Wang, Zhiguo Li, Jianfeng Zhan, Yong Qi, Yongqiang He, Shiming Gong, Xiaona Li, Shujie Zhang, and Bizhu Qiu. 2013. BigDataBench: a big data benchmark suite from web search engines. arXiv preprint arXiv:1307.0320 (2013).
[26]
Lilit Garibyan and Nidhi Avashia. 2013. Research techniques made simple: polymerase chain reaction (PCR). The Journal of investigative dermatology 133, 3 (2013), e6.
[27]
Esteban Garzón, Roman Golman, Zuher Jahshan, Robert Hanhan, Natan Vinshtok-Melnik, Marco Lanuzza, Adam Teman, and Leonid Yavits. 2022. Hamming Distance Tolerant Content-Addressable Memory (HD-CAM) for DNA Classification. IEEE Access 10 (2022), 28080--28093.
[28]
Sara Goodwin, John D McPherson, and W Richard McCombie. 2016. Coming of age: ten years of next-generation sequencing technologies. Nature Reviews Genetics 17, 6 (2016), 333--351.
[29]
Sheikh Wasmir Hussain, Telajala Venkata Mahendra, Sandeep Mishra, and Anup Dandapat. 2018. Match-Line Division and Control to Reduce Power Dissipation in Content Addressable Memory. IEEE Transactions on Consumer Electronics 64, 3 (2018), 301--309.
[30]
Illumina. 2021. Illumina - DNA Sequencing. https://www.illumina.com/techniques/sequencing/dna-sequencing.html
[31]
Mohsen Imani, Yeseong Kim, Abbas Rahimi, and Tajana Rosing. 2016. ACAM: Approximate Computing Based on Adaptive Associative Memory with Online Learning. In Proceedings of the 2016 International Symposium on Low Power Electronics and Design (San Francisco Airport, CA, USA) (ISLPED '16). Association for Computing Machinery, New York, NY, USA, 162--167.
[32]
Mohsen Imani, Abbas Rahimi, Deqian Kong, Tajana Rosing, and Jan M Rabaey. 2017. Exploring hyperdimensional associative memory. In 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 445--456.
[33]
D Jothi and R Sivakumar. 2018. Design and analysis of power efficient binary content addressable memory (PEBCAM) core cells. Circuits, Systems, and Signal Processing 37, 4 (2018), 1422--1451.
[34]
Roman Kaplan, Leonid Yavits, and Ran Ginosar. 2018. PRINS: Processing-in-Storage Acceleration of Machine Learning. IEEE Transactions on Nanotechnology 17, 5 (2018), 889--896.
[35]
Roman Kaplan, Leonid Yavits, and Ran Ginosar. 2018. RASSA: resistive prealignment accelerator for approximate DNA long read mapping. IEEE Micro 39, 4 (2018), 44--54.
[36]
Roman Kaplan, Leonid Yavits, Ran Ginosar, and Uri Weiser. 2017. A Resistive CAM Processing-in-Storage Architecture for DNA Sequence Alignment. IEEE Micro 37, 4 (2017), 20--28.
[37]
Roman Kaplan, Leonid Yavits, and Ran Ginosasr. 2020. BioSEAL: In-Memory Biological Sequence Alignment Accelerator for Large-Scale Genomic Data. In Proceedings of the 13th ACM International Systems and Storage Conference. 36--48.
[38]
S Karen Khatamifard, Zamshed Chowdhury, Nakul Pande, Meisam Razaviyayn, Chris Kim, and Ulya R Karpuzcu. 2017. Read Mapping Near Non-Volatile Memory. arXiv preprint arXiv:1709.02381 (2017).
[39]
Jeremie S Kim, Damla Senol Cali, Hongyi Xin, Donghyuk Lee, Saugata Ghose, Mohammed Alser, Hasan Hassan, Oguz Ergin, Can Alkan, and Onur Mutlu. 2018. GRIM-Filter: Fast seed location filtering in DNA read mapping using processing-in-memory technologies. BMC genomics 19, 2 (2018), 23--40.
[40]
T. Kobayashi, K. Nogami, T. Shirotori, Y. Fujimoto, and O. Watanabe. 1992. A current-mode latch sense amplifier and a static power saving input buffer for low-power architecture. In 1992 Symposium on VLSI Circuits Digest of Technical Papers. 28--29.
[41]
Sriram C. Krishnan, Rina Panigrahy, and Sunil Parthasarathy. 2009. Error-Correcting Codes for Ternary Content Addressable Memories. IEEE Trans. Comput. 58, 2 (2009), 275--279.
[42]
Ben Langmead. 2010. Aligning short sequencing reads with Bowtie. Current protocols in bioinformatics 32, 1 (2010), 11--7.
[43]
Heng Li. 2013. Aligning sequence reads, clone sequences and assembly contigs with BWA-MEM. arXiv preprint arXiv:1303.3997 (2013).
[44]
Heng Li. 2016. Minimap and miniasm: fast mapping and de novo assembly for noisy long sequences. Bioinformatics 32, 14 (2016), 2103--2110.
[45]
Heng Li. 2018. Minimap2: pairwise alignment for nucleotide sequences. Bioinformatics 34, 18 (2018), 3094--3100.
[46]
Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Zhimin Tang, Dongrui Fan, and Ninghui Sun. 2019. PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing. Sustainable Computing: Informatics and Systems 21 (2019), 129--142.
[47]
Bo Liu, Theodore Gibbons, Mohammad Ghodsi, Todd Treangen, and Mihai Pop. 2011. Accurate and fast estimation of taxonomic profiles from metagenomic shotgun sequences. Genome biology 12, 1 (2011), 1--27.
[48]
Guillaume Marçais, Dan DeBlasio, Prashant Pandey, and Carl Kingsford. 2019. Locality-sensitive hashing for the edit distance. Bioinformatics 35, 14 (2019), i127-i135.
[49]
H.J. Mattausch, T. Gyohten, Y. Soda, and T. Koide. 2002. Compact associative- memory architecture with fully parallel search capability for the minimum Hamming distance. IEEE Journal of Solid-State Circuits 37, 2 (2002), 218--227.
[50]
Sandeep Mishra, Telajala Venkata Mahendra, and Anup Dandapat. 2016. A 9-T 833-MHz 1.72-fJ/Bit/Search Quasi-Static Ternary Fully Associative Cache Tag With Selective Matchline Evaluation for Wire Speed Applications. IEEE Transactions on Circuits and Systems I: Regular Papers 63, 11 (2016), 1910--1920.
[51]
NCBI. 2021. Bethesda (MD): National Library of Medicine (US), National Center for Biotechnology Information. https://www.ncbi.nlm.nih.gov/
[52]
Patrick Ng. 2017. dna2vec: Consistent vector representations of variable-length k-mers. arXiv preprint arXiv:1701.06279 (2017).
[53]
Kai Ni, Xunzhao Yin, Ann Franchesca Laguna, Siddharth Joshi, Stefan Dünkel, Martin Trentzsch, Johannes Müller, Sven Beyer, Michael Niemier, Xiaobo Sharon Hu, and Suman Datta. 2019. Ferroelectric ternary content-addressable memory for one-shot learning. Nature Electronics 2, 11 (2019), 521--529.
[54]
ONT. 2021. MinION - Portable real-time devices for DNA and RNA sequencing. https://nanoporetech.com/products/minion
[55]
Agogo E. Otu A. and Ebenso B. 2021. Africa needs more genome sequencing to tackle new variants of SARS-CoV-2. Nature Medicine (2021), 744--745.
[56]
Rachid Ounit and Stefano Lonardi. 2016. Higher classification sensitivity of short metagenomic reads with CLARK-S. Bioinformatics 32, 24 (2016), 3823--3825.
[57]
Rachid Ounit, Steve Wanamaker, Timothy J Close, and Stefano Lonardi. 2015. CLARK: fast and accurate classification of metagenomic and genomic sequences using discriminative k-mers. BMC genomics 16, 1 (2015), 1--13.
[58]
Kostas Pagiamtzis, Navid Azizi, and Farid N. Najm. 2006. A Soft-Error Tolerant Content-Addressable Memory (CAM) Using An Error-Correcting-Match Scheme. In IEEE Custom Integrated Circuits Conference 2006. 301--304.
[59]
K. Pagiamtzis and A. Sheikholeslami. 2006. Content-addressable memory (CAM) circuits and architectures: a tutorial and survey. IEEE Journal of Solid-State Circuits 41, 3 (2006), 712--727.
[60]
K. Prasanth, M. Ramireddy, T. Keerthi priya, and S. Ravindrakumar. 2019. High Speed, Low Matchline Voltage Swing and Search Line Activity TCAM Cell Array Design in 14 nm FinFET Technology. In Lecture Notes in Electrical Engineering. Springer Singapore, 465--473.
[61]
Abbas Rahimi, Amirali Ghofrani, Kwang-Ting Cheng, Luca Benini, and Rajesh K. Gupta. 2015. Approximate associative memristive memory for energy-efficient GPUs. In 2015 Design, Automation Test in Europe Conference Exhibition (DATE). 1497--1502.
[62]
Akshay Krishna Ramanathan, Srivatsa Srinivasa Rangachar, Je-Min Hung, Chun-Ying Lee, Cheng-Xin Xue, Sheng-Po Huang, Fu-Kuo Hsueh, Chang-Hong Shen, Jia-Min Shieh, Wen-Kuan Yeh, Mon-Shu Ho, Hariram Thirucherai Govindarajan, Jack Sampson, Meng-Fan Chang, and Vijaykrishnan Narayanan. 2020. Monolithic 3D+-IC Based Massively Parallel Compute-in-Memory Macro for Accelerating Database and Machine Learning Primitives. In 2020 IEEE International Electron Devices Meeting (IEDM). 28.5.1--28.5.4.
[63]
M Sadegh Riazi, Mohammad Samragh, and Farinaz Koushanfar. 2017. Camsure: Secure content-addressable memory for approximate search. ACM Transactions on Embedded Computing Systems (TECS) 16, 5s (2017), 1--20.
[64]
Meysam Roodi and Andreas Moshovos. 2018. Gene sequencing: where time goes. In 2018 IEEE International Symposium on Workload Characterization (IISWC). IEEE, 84--85.
[65]
Gail Rosen, Elaine Garbarine, Diamantino Caseiro, Robi Polikar, and Bahrad Sokhansanj. 2008. Metagenome Fragment Classification Using N-Mer Frequency Profiles. Advances in bioinformatics 2008 (2008).
[66]
Abigail Sawyer, Tristan Free, and Joseph Martin. 2021. Metagenomics: preventing future pandemics.
[67]
Divya Sethi, Manjit Kaur, and Gurmohan Singh. 2017. Design and performance analysis of a CNFET-based TCAM cell with dual-chirality selection. Journal of Computational Electronics 16, 1 (2017), 106--114.
[68]
Brajesh K Singh, Pankaj Trivedi, Eleonora Egidi, Catriona A Macdonald, and Manuel Delgado-Baquerizo. 2020. Crop microbiome and sustainable agriculture. Nature Reviews Microbiology 18, 11 (2020), 601--602.
[69]
Zachary D Stephens, Skylar Y Lee, Faraz Faghri, Roy H Campbell, Chengxiang Zhai, Miles J Efron, Ravishankar Iyer, Michael C Schatz, Saurabh Sinha, and Gene E Robinson. 2015. Big data: astronomical or genomical? PLoS biology 13, 7 (2015), e1002195.
[70]
Mohammad MA Taha and Christof Teuscher. 2020. Approximate memristive in-memory Hamming distance circuit. ACM Journal on Emerging Technologies in Computing Systems (JETC) 16, 2 (2020), 1--14.
[71]
Derrick E Wood, Jennifer Lu, and Ben Langmead. 2019. Improved metagenomic analysis with Kraken 2. Genome biology 20, 1 (2019), 1--13.
[72]
Derrick E Wood and Steven L Salzberg. 2014. Kraken: ultrafast metagenomic sequence classification using exact alignments. Genome biology 15, 3 (2014), 1--12.
[73]
Leonid Yavits, Roman Kaplan, and Ran Ginosar. 2021. GIRAF: General Purpose In-Storage Resistive Associative Framework. IEEE Transactions on Parallel and Distributed Systems (2021), 1--1.
[74]
Leonid Yavits, Shahar Kvatinsky, Amir Morad, and Ran Ginosar. 2015. Resistive Associative Processor. IEEE Computer Architecture Letters 14, 2 (2015), 148--151.
[75]
Leonid Yavits, Amir Morad, and Ran Ginosar. 2015. Computer Architecture with Associative Processor Replacing Last-Level Cache and SIMD Accelerator. IEEE Trans. Comput. 64, 2 (2015), 368--381.
[76]
Mohammed Zackriya V and Harish M. Kittur. 2016. Precharge-Free, Low-Power Content-Addressable Memory. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24, 8 (2016), 2614--2621.
[77]
Xuan Zhu, Xuejun Yang, Chunqing Wu, Junjie Wu, and Xun Yi. 2013. Hamming network circuits based on CMOS/memristor hybrid design. IEICE Electronics Express (2013), 10--20130404.

Cited By

View all
  • (2024)Designing Precharge-Free Energy-Efficient Content-Addressable MemoriesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2024.347503632:12(2303-2314)Online publication date: Dec-2024
  • (2024)SOT-MRAM-Based Approximate Content Addressable Memory for DNA ClassificationIEEE Transactions on Electron Devices10.1109/TED.2024.342381271:9(5732-5738)Online publication date: Sep-2024
  • (2024)DIPER: Detection and Identification of Pathogens Using Edit Distance-Tolerant Resistive CAMIEEE Transactions on Computers10.1109/TC.2023.331582973:10(2463-2473)Online publication date: Oct-2024
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '22: Proceedings of the 49th Annual International Symposium on Computer Architecture
June 2022
1097 pages
ISBN:9781450386104
DOI:10.1145/3470496
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEEE CS TCAA: IEEE CS technical committee on architectural acoustics

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 11 June 2022

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article

Conference

ISCA '22
Sponsor:

Acceptance Rates

ISCA '22 Paper Acceptance Rate 67 of 400 submissions, 17%;
Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)140
  • Downloads (Last 6 weeks)16
Reflects downloads up to 10 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Designing Precharge-Free Energy-Efficient Content-Addressable MemoriesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2024.347503632:12(2303-2314)Online publication date: Dec-2024
  • (2024)SOT-MRAM-Based Approximate Content Addressable Memory for DNA ClassificationIEEE Transactions on Electron Devices10.1109/TED.2024.342381271:9(5732-5738)Online publication date: Sep-2024
  • (2024)DIPER: Detection and Identification of Pathogens Using Edit Distance-Tolerant Resistive CAMIEEE Transactions on Computers10.1109/TC.2023.331582973:10(2463-2473)Online publication date: Oct-2024
  • (2024) MajorK: Majority Based k mer Matching in Commodity DRAM IEEE Computer Architecture Letters10.1109/LCA.2024.338425923:1(83-86)Online publication date: Jan-2024
  • (2024)MegIS: High-Performance, Energy-Efficient, and Low-Cost Metagenomic Analysis with In-Storage Processing2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00054(660-677)Online publication date: 29-Jun-2024
  • (2024)TALCO: Tiling Genome Sequence Alignment Using Convergence of Traceback Pointers2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00044(91-107)Online publication date: 2-Mar-2024
  • (2024)ViRAL: Vision Transformer Based Accelerator for ReAL Time Lineage Assignment of Viral PathogensIEEE Access10.1109/ACCESS.2024.336780112(28353-28368)Online publication date: 2024
  • (2023)Approximate Content-Addressable Memories: A ReviewChips10.3390/chips20200052:2(70-82)Online publication date: 30-Mar-2023
  • (2023)ClaPIM: Scalable Sequence Classification Using Processing-in-MemoryIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.329303831:9(1347-1357)Online publication date: 1-Sep-2023
  • (2023)A Low-Complexity Sensing Scheme for Approximate Matching Content-Addressable MemoryIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2023.328625770:10(3867-3871)Online publication date: Oct-2023
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media