[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
article

Design space exploration for 3D architectures

Published: 01 April 2006 Publication History

Abstract

As technology scales, interconnects have become a major performance bottleneck and a major source of power consumption for microprocessors. Increasing interconnect costs make it necessary to consider alternate ways of building modern microprocessors. One promising option is 3D architectures where a stack of multiple device layers with direct vertical tunneling through them are put together on the same chip. As fabrication of 3D integrated circuits has become viable, developing CAD tools and architectural techniques is imperative to explore the design space to 3D microarchitectures. In this article, we give a brief introduction to 3D integration technology, discuss the EDA design tools that can enable the adoption of 3D ICs, and present the implementation of various microprocessor components using 3D technology. An industrial case study is presented as an initial attempt to design 3D microarchitectures.

References

[1]
Albayraktaroglu, K., Jalell, A., Wu, X., Franklin, M., Jacob, B., Tseng, C.-W., and Yeung, D. 2005. Biobench: A benchmark suite of bioinformatics applications. In Proceedings of the International Symposium on Performance Analysis of Systems and Software. Austin, TX. 2--9.
[2]
Austin, T., Larson, E., and Ernst, D. 2002. Simplescalar: An infrastructure for computer system modeling. IEEE Micro Magazine, 59--67.
[3]
Austin, T. M., Breach, S. E., and Sohi, G. S. 1994. Efficient detection of all pointer and array access errors. In Proceedings of the SIGPLAN Conference on Programming Language Design and Implementation. Orlando, FL. 290--301.
[4]
Bernstein, K. 2006. Introduction to 3d integration. In International Solid State Circuits Conference Tutorial.
[5]
Brent, R. P. and Kung, H. T. 1982. A regular layout for parallel adders. IEEE Trans. Comput., 260--264.
[6]
Chang, Y., Chang, Y., Wu, G.-M., and Wu, S.-W. 2000. B*-trees: A new representation for non-slicing floorplans. In Proceedings of the Annual ACM/IEEE Design Automation Conference.
[7]
Chu, C. and Wong, D. 1997. A matrix synthesis approach to thermal placement. In Proceedings of the International Symposium on Physical Design (ISPD'97).
[8]
Cong, J., Wei, J., and Zhang, Y. 2004. A thermal-driven floorplanning algorithm for 3d ics. In Proceedings of the International Conference on Computer Aided Design (ICCAD).
[9]
Das, S., Fan, A., Chen, K.-N., Tan, C. S., Checka, N., and Reif, R. 2004. Technology, performance, and computer-aided design of three-dimensional integrated circuits. In Proceedings of the International Symposium on Physical Design (ISPD'97). ACM Press, New York, NY. 108--115.
[10]
Davis, W. R., Wilson, J., Mick, S., Xu, J., Hua, H., Mineo, C., Sule, A. M, Steer, M., and Franzon, P. D. 2005. Demystifying 3d ics: The pros and cons of going vertical. IEEE Design and Test of Comput. 22, 498--510.
[11]
Deng, Y. and Maly, W. 2004. 2.5D system integration: A design driven system implementation schema. In Proceedings of the Conference on Asia South Pacific Design Automation.
[12]
Goplen, B. and Sapatnekar, S. 2003. Efficient thermal placement of standard cells in 3D ICs using a force directed approach. In Proceedings of the International Conference on Computer Aided Design (ICCAD).
[13]
Gupta, S., Hilbert, M., Hong, S., and Patti, R. 2004. Techniques for producing 3d ics with high-density interconnect. In Proceedings of the 21st International VLSI Multilevel Interconnection Conference. Waikoloa Beach, HI.
[14]
Guthaus, M. R., Ringenberg, J. S., Ernst, D., Austin, T. M., Mudge, T., and Brown, R. B. 2001. Mibench: A free, commerically representative embedded benchmark suite. In Proceedings of the 4th Workshop on Workload Characterization. Austin, TX. 83--94.
[15]
Ha, P. Z., Davis, J., and Meindl, J. 2000. Prediction of net length distribution for global interconnects in a heterogeneous soc. IEEE Trans. VLSI Syst. 8, 6 (Dec.), 649--659.
[16]
Hennessy, J. and Patterson, D. 2003. Computer Architecture: A Quantitative Approach 3rd Ed. Morgan Kaufmann.
[17]
Hinton, G., Sager, D., Upton, M., Boggs, D., Carmean, D., Kyler, A., and Roussel, P. 2001. The microarchitecture of the pentium 4 processor. Intel Techn. J.
[18]
Hung, W., Link, G., Xie, Y., Narayanan, V., and Irwin, M. J. 2006. Interconnect and thermal-aware floorplanning for 3d microprocessors. In Proceedings of the International Symposium of Quality Electronic Devices.
[19]
Jouppi, N. P. 1990. Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers. In Proceedings of the 17th International Symposium on Computer Architecture. Seattle, WA. 364--373.
[20]
Jung, S. M., Jang, J., Cho, W., Moon, J., Kwak, K., Choi, B., Hwang, B., Lim, H., Jeong, J., Kim, J., and Kim, K. 2004. The revolutionary and truly 3-dimentional 25F2 SRAM technology with the smallest S3 cell, 0.16um2 and SSTFF for ultra high density SRAM. VLSI Techn. Dig. Techn. Papers, 228--229.
[21]
Kang, Y. H. Jung, S. M., Jang, J. H., Moon, J. H., Cho, W. S., Yeo, C. D., Kwak, K. H., Choi, B. H., Hwang, B. J., Jung, W. R., Kim, S. J., Kim, J. H., Na, J. H., Lim, H., Jeong, J. H., and Kim, K. 2004. Fabrication and characteristics of novel load PMOS SSTFT (stacked single-crystal thin film transistor) for 3-dimentional SRAM memory cell. In Proceedings of the IEEE Silicon-on-Insulator Conference (SOI). 127--129.
[22]
Kogge, P. M. and Stone, H. S. 1973. A parallel algorithm for the efficient solution of a general class of recurrence equations. IEEE Trans. Comput., 786--793.
[23]
Larson, E., Chatterjee, S., and Austin, T. 2001. Mase: A novel infrastructure for detailed microarchitectural modeling. In Proceedings of the International Symposium on Performance Analysis of Systems and Software. Tucson, AZ. 1--9.
[24]
Lee, C., Potkonjak, M., and Mangione-Smith, W. H. 1997. Mediabench: A tool for evaluating and synthesizing multimedia and communication systems. In Proceedings of the 30th International Symposium on Microarchitecture. Research Triangle Park, NC. 330--335.
[25]
Lee, K. W. Nakqmura, T., Ono, T., Yamada, Y., Mozukusa, T., Hashimoto, H., Park, K. T., Kuring, H., and Koyanag, N. 2000. Three-dimensional shared memory fabricated using wafer stacking technology. International Electron Devides Meeting (IEDM). Technical Digest, 165--168.
[26]
Link, G. and Narayanan, V. 2006. Thermal trends in emergent technologies. In Proceedings of the International Symposium of Quality Electronic Devices.
[27]
Lipasti, M. H., Mestan, B. R., and Gunadi, E. 2004. Physical register inlining. In Proceedings of the 31st International Symposium on Computer Architecture. München, Germany. 325--335.
[28]
Mayega, J., Erdogan, O., Belemjian, P. M., Zhou, K., McDonald, J. F., and Kraft, R. P. 2003. 3d direct vertical interconnect microprocessors test vehicle. In Proceedings of the ACM Great Lakes Symposium on VLSI. Washington, DC. 141--146.
[29]
Palacharla, S. 1998. Complexity-effective superscalar processors. Ph.D. thesis, University of Wisconsin.
[30]
Palacharla, S., Jouppi, N. P., and Smith, J. E. 1997. Complexity-effective superscalar processors. In Proceedings of the 24th International Symposium on Computer Architecture. Boulder, CO. 206--218.
[31]
Puttaswamy, K. and Loh, G. H. 2005. Implementing caches in a 3d technology for high performance processors. In Proceedings of the International Conference on Computer Design. San Jose, CA.
[32]
Rahman, A. and Reif, R. 2000. System level performance evaluation of three-dimensional integrated circuits. IEEE Trans. VLSI Syst. 8, 6 (Dec.), 671--678.
[33]
Reif, R., Fan, A., Chen, K., and Das., S. 2002. Fabrication technologies for three-dimensional integrated circuits. In Proceedings of the International Symopsium on Quality Electronic Devices. 33--37.
[34]
Seznec, A., Felix, S., Krishnan, V., and Sazeides, Y. 2002. Design tradeoffs for the alpha ev8 conditional branch predictor. In Proceedings of the 29th International Symposium on Computer Architecture. Anchorage, AK.
[35]
Shiu, P. and Lim, S. K. 2004. Multi-layer floorplanning for reliable system-on-package. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS).
[36]
Shivakumar, P. Shivakumar, P., and Joupp, N. P. 2001. Cacti 3.0: An integrated cache timing, power, and area model. Western Research Lab Research Report.
[37]
Skadron, K., Stan, M. R., Huang, W., Velusamy, S., Sankaranarayanan, K., and Tarjan, D. 2003. Temperature-Aware Microarchitecture. In Proceedings of the International Sumposium on Computer Architecture 30, 2, 2--13.
[38]
Sklansky, J. 1960. Conditional sum addition logic. IRE Trans. Electron. Comput. 9, 2 (June), 226--231.
[39]
Souri, S. J., Banerjee, K., Mehrotra, A., and Saraswat, K. C. 2000. Multiple si layer ics: motivation, performance analysis, and design implications. In Proceedings of the 37th Conference on Design Automation (DAC '00). ACM Press, New York, NY. 213--220.
[40]
Tezzaron Semiconductors. 2005. Tezzaron unveils 3d SRAM. http://www.tezzaron.com.
[41]
Tsai, C. and Kang, S. 2000. Cell-level placement for improving substrate thermal distributio. IEEE Trans. Comput.-Aided Design Integrat. Circuits Syst.
[42]
Tsai, Y., Xie, Y., Narayanan, V., and Irwin, M. J. 2005. Three-dimensional cache design exploration using 3dcacti. In Proceedings of the IEEE International Conference on Computer Design (ICCD'05) 519--524.
[43]
Xue, L., Liu, C., and Tiwari, S. 2001. Multi-layers with buried structures (mlbs): An approach to three-dimensional integration. In Proceedings of the IEEE International Conference on Silicon On Insulator. 117--118.
[44]
Zhang, K., Bhattacharya, U., Chen, Z., Hamzaogiu, F., Murray, D., Vallepalli, N., Wang, Y., Zheng, B., and Bohr, M. 2004. A SRAM Design on 65nm CMOS technology with Integrated Leakage Reduction Scheme. IEEE Symposium On VLSI Circuit. Digest of Technical Papers, 294--295.

Cited By

View all
  • (2023)Thermal and Voltage-Aware Performance Management of 3-D MPSoCs With Flow Cell Arrays and Integrated SC ConvertersIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.316825742:1(2-15)Online publication date: Jan-2023
  • (2022)3D Scanning/Printing: A Technological Stride in SculptureTechnologies10.3390/technologies1001000910:1(9)Online publication date: 14-Jan-2022
  • (2022)A High Static Performance Hierarchical Three-Dimensional Shifted Completely Connected NetworkIEEE Access10.1109/ACCESS.2022.316872810(43812-43836)Online publication date: 2022
  • Show More Cited By

Index Terms

  1. Design space exploration for 3D architectures

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 2, Issue 2
      April 2006
      90 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/1148015
      Issue’s Table of Contents

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 01 April 2006
      Published in JETC Volume 2, Issue 2

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. 3D integration
      2. hardware
      3. microarchitecture
      4. processor architectures

      Qualifiers

      • Article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)142
      • Downloads (Last 6 weeks)24
      Reflects downloads up to 10 Dec 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)Thermal and Voltage-Aware Performance Management of 3-D MPSoCs With Flow Cell Arrays and Integrated SC ConvertersIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.316825742:1(2-15)Online publication date: Jan-2023
      • (2022)3D Scanning/Printing: A Technological Stride in SculptureTechnologies10.3390/technologies1001000910:1(9)Online publication date: 14-Jan-2022
      • (2022)A High Static Performance Hierarchical Three-Dimensional Shifted Completely Connected NetworkIEEE Access10.1109/ACCESS.2022.316872810(43812-43836)Online publication date: 2022
      • (2021)Plasticity-on-Chip Design: Exploiting Self-Similarity for Data CommunicationsIEEE Transactions on Computers10.1109/TC.2021.307150770:6(950-962)Online publication date: 1-Jun-2021
      • (2020)Pains and Gains of Peer- Reviewing in Software EngineeringACM SIGSOFT Software Engineering Notes10.1145/3385678.338568845:2(6-6)Online publication date: 3-May-2020
      • (2020)The Java Pathfinder Workshop 2019ACM SIGSOFT Software Engineering Notes10.1145/3385678.338568545:2(20-22)Online publication date: 3-May-2020
      • (2020)The ACM SIGSOFT Paper and Peer Review Quality InitiativeACM SIGSOFT Software Engineering Notes10.1145/3385678.338568145:2(17-18)Online publication date: 3-May-2020
      • (2019)In-DRAM Cache Management for Low Latency and Low Power 3D-Stacked DRAMsMicromachines10.3390/mi1002012410:2(124)Online publication date: 14-Feb-2019
      • (2019)MagmaProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317858(1-6)Online publication date: 2-Jun-2019
      • (2019)An Energy-efficient Reliable Heterogeneous Uncore Architecture for Future 3D Chip-multiprocessorsJournal of Circuits, Systems and Computers10.1142/S0218126619502244Online publication date: 8-Feb-2019
      • Show More Cited By

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media