[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.5555/603095.603170acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

On identifying don't care inputs of test patterns for combinational circuits

Published: 04 November 2001 Publication History

Abstract

Given a test set for stuck-at faults, some of primary input values may be changed to opposite logic values without losing fault coverage. We can regard such input values as don't care (X). In this paper, we propose a method for identifying X inputs of test vectors in a given test set. While there are many combinations of X inputs in the test set generally, the proposed method finds one including X inputs as many as possible, by using fault simulation and procedures similar to implication and justification of ATPG algorithms. Experimental results for ISCAS benchmark circuits show that approximately 66% of inputs of un-compacted test sets could be X in average. Even for compacted test sets, the method found that approximately 47% of inputs are X. Finally, we discuss how logic values are reassigned to the identified X inputs where several applications exist to make test vectors more desirable.

References

[1]
M. L. Bushnell, V. D. Agrawal, Essentials of Electronic Testing for Digital, Memory & Mixed-Signal VLSI Circuits, Kluwer Academic Publishers, 2000.
[2]
S. C. Ma, P. Franco, and E. J. McCluskey, "An Experimental Chip to Evaluate Test Techniques Experiment Results," 1995 International Test Conf., pp. 663-672, Oct. 1995.
[3]
I. Pomeranz, L. N. Reddy, and S. M. Reddy, "COMPACTEST: A Method to Generate Compact Test Sets for Combinational Circuits," 1991 International Test Conf., pp. 194-203, Oct. 1991.
[4]
S. Wang, S. K. Gupta, "ATPG for Heat Dissipation Minimization during Test Application," IEEE Trans. Computer, Vol. 47, No. 2, pp. 256-262, Feb. 1998.
[5]
M. Schulz, E. Trischler, and T. Sarfert, "SOCRATES: A Highly Efficient Automatic Test Pattern Generation System," IEEE Trans. on CAD., pp. 126-137, Jan. 1988.
[6]
P. Goel, and B. C. Rosales, "Test Generation and Dynamic Compaction of Tests," Digest of Papers 1979 Test Conf., pp. 189-192, Oct. 1979.
[7]
J.-S. Chang, C.-S. Lin, "Test Set Compaction for Combinational Circuits," First Asian Test Symposium, pp. 20-25, Nov. 1992.
[8]
S. Kajihara, I. Pomeranz, K. Kinoshita and S. M. Reddy, "Cost-Effective Generation of Minimal Test Sets for Stuck-at Faults in Combinational Logic Circuits," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 14, No. 12, pp. 1496-1504, Dec. 1995.
[9]
L. N. Reddy, I. Pomeranz, and S. M. Reddy, "ROTCO: A Reverse Order Test Compaction Technique," 1992 IEEE EURO-ASIC Conference, pp. 189-194, Sept. 1992.
[10]
S. Y. Lee and K. K. Saluja, "An Algorithm to Reduce Test Application Time in Full Scan Designs" Proc. Int'l Conf. on CAD, pp. 17-20, Nov. 1992.
[11]
Y. Higami, S. Kajihara, and K. Kinoshita, "Reduced Scan Shift: A New Testing Method for Sequential Circuits," IEEE International Test Conference, pp. 624-630, Oct. 1994.
[12]
V. Iyengar, K. Chakrabarty, and B. T. Murray, "Built-in Self Testing of Sequential Circuits Using Precomputed Test Sets," 16th VLSI Test Symposium, pp. 418-423, 1998.
[13]
Y. Zorian, "A Distributed BIST Control Scheme for Complex VLSI Devices," 11th VLSI Test Symposium, pp. 4-9, 1993.
[14]
R. Sankaralingam, R. R. Oruganti, N. A. Touba, "Static Compaction Techniques to Control Scan Vector Power Dissipation," 18th VLSI Test Symposium, pp. 35-40, 2000.
[15]
S. M. Reddy, I. Pomeranz, and S. Kajihara, "Compact Test Sets for High Defect Coverage," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 16, No. 8, pp.923-930, Aug. 1997.
[16]
A. Krstic, Y.-M. Jiang, K.-T. Cheng, "Delay Testing Considering Power Supply Noise Effects," International Test Conf., pp. 181-190, Sept. 1999.

Cited By

View all
  • (2009)A scalable method for the generation of small test setsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874895(1136-1141)Online publication date: 20-Apr-2009
  • (2008)A new low energy BIST using a statistical codeProceedings of the 2008 Asia and South Pacific Design Automation Conference10.5555/1356802.1356960(647-652)Online publication date: 21-Jan-2008
  • (2008)Test-architecture optimization and test scheduling for SOCs with core-level expansion of compressed test patternsProceedings of the conference on Design, automation and test in Europe10.1145/1403375.1403422(188-193)Online publication date: 10-Mar-2008
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '01: Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
November 2001
656 pages
ISBN:0780372492
  • Conference Chair:
  • Rolf Ernst

Sponsors

Publisher

IEEE Press

Publication History

Published: 04 November 2001

Check for updates

Qualifiers

  • Article

Conference

ICCAD01
Sponsor:
ICCAD01: International Conference on Computer Aided Design
November 4 - 8, 2001
California, San Jose

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 16 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2009)A scalable method for the generation of small test setsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874895(1136-1141)Online publication date: 20-Apr-2009
  • (2008)A new low energy BIST using a statistical codeProceedings of the 2008 Asia and South Pacific Design Automation Conference10.5555/1356802.1356960(647-652)Online publication date: 21-Jan-2008
  • (2008)Test-architecture optimization and test scheduling for SOCs with core-level expansion of compressed test patternsProceedings of the conference on Design, automation and test in Europe10.1145/1403375.1403422(188-193)Online publication date: 10-Mar-2008
  • (2007)Optimized integration of test compression and sharing for SOC testingProceedings of the conference on Design, automation and test in Europe10.5555/1266366.1266411(207-212)Online publication date: 16-Apr-2007
  • (2005)Test compression for scan circuits using scan polarity adjustment and pinpoint test relaxationProceedings of the 2005 Asia and South Pacific Design Automation Conference10.1145/1120725.1120744(59-64)Online publication date: 18-Jan-2005
  • (2005)Evolutionary Optimization in Code-Based Test CompressionProceedings of the conference on Design, Automation and Test in Europe - Volume 210.1109/DATE.2005.144(1124-1129)Online publication date: 7-Mar-2005
  • (2004)Test data compression technique using selective don't-care identificationProceedings of the 2004 Asia and South Pacific Design Automation Conference10.5555/1015090.1015144(230-233)Online publication date: 27-Jan-2004
  • (2003)A Technique for High Ratio LZW CompressionProceedings of the conference on Design, Automation and Test in Europe - Volume 110.5555/789083.1022714Online publication date: 3-Mar-2003
  • (2003)Test data compression using dictionaries with selective entries and fixed-length indicesACM Transactions on Design Automation of Electronic Systems10.1145/944027.9440328:4(470-490)Online publication date: 1-Oct-2003
  • (2003)On test data volume reduction for multiple scan chain designsACM Transactions on Design Automation of Electronic Systems10.1145/944027.9440318:4(460-469)Online publication date: 1-Oct-2003
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media