[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.5555/3199700.3199797acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Cost-effective design of scalable high-performance systems using active and passive interposers

Published: 13 November 2017 Publication History

Abstract

Cutting-edge high-performance systems demand larger and denser processors, but future lithographic nodes are expected to introduce higher manufacturing costs and yield challenges. Die-level integration technologies like passive interposer-based 2.5D have demonstrated the potential for cost reductions through die partitioning and yield improvement, but system performance and scalability may be impacted. Alternatively, active interposer technology, the intersection of 3D and 2.5D methodologies, can provide higher-performance interconnect networks to integrate chiplets, but the active interposer die is itself subject to cost and yield concerns. In this work, we perform a cost and performance comparison between traditional monolithic 2D SoCs, 2.5D passive interposers, and 2.5D/3D active interposers to demonstrate the trade-offs between the interposer types for current and future high-performance systems. This work introduces a multi-die core-binning cost model to demonstrate the yield improvements from interposer-based die partitioning of large multi-core processors. The relative cost and performance scaling trade-offs of passive and active interposer dies are then compared for the target systems, demonstrating that both methodologies can indeed provide cost-effective integration for different system requirements. Finally, this work demonstrates how the extra "prepaid" silicon area of the interposers can be leveraged for fault tolerance to improve yield and cost-effectiveness. In summary, this work concludes that both active and passive interposers can cost-effectively improve the functional and parametric yield of high-performance systems, together providing a cost versus performance space to meet a range of design requirements.

References

[1]
AMD Epyc. http://www.amd.com/en/products/epyc.
[2]
International technology roadmap for semiconductors 2.0, 2015 edition, system integration. Report Ch 1, Semiconductor Industry Association, 2015.
[3]
Anandtech. Cpu benchmarks. http://anandtech.com/bench/CPU/1603.
[4]
J. A. Carballo et al. ITRS 2.0: Toward a re-framing of the semiconductor technology roadmap. In IEEE 32nd Intl. Conf. Computer Design, Oct 2014.
[5]
P. Christie and J. P. de Gyvez. Prelayout interconnect yield prediction. IEEE Trans. Very Large Scale Integr. Syst., 11(1), Feb. 2003.
[6]
J. A. Cunningham. The use and evaluation of yield models in integrated circuit manufacturing. IEEE Trans. Semicond. Manuf., May 1990.
[7]
IC Knowledge LLC. IC Cost and Price Model, 2016 Revision 05, 2016.
[8]
N. E. Jerger et al. NoC architectures for silicon interposer systems: Why pay for more wires when you can get them (from your interposer) for free? In 47th IEEE/ACM Int. Symp. Microarchitecture, Dec 2014.
[9]
A. Kannan et al. Enabling interposer-based disintegration of multi-core processors. In 48th Annual IEEE/ACM Intl. Symp. Microarchitecture, Dec 2015.
[10]
J. Kim. Active Si interposer for 3D IC integrations. In Int. 3D Systems Integration Conf., Aug 2015.
[11]
N. Kim et al. Interposer design optimization for high frequency signal transmission in passive and active interposer using through silicon via. In IEEE 61st Electronic Components and Tech. Conf., May 2011.
[12]
M. Lapedus. 10nm versus 7nm. http://semiengineering.com/10nm-versus-7nm/, April 2016.
[13]
M. Lapedus. Battling fab cycle times. http://semiengineering.com/battling-fab-cycle-times/, Feb 2017.
[14]
J. H. Lau. TSV manufacturing yield and hidden costs for 3D IC integration. In 60th Electronic Components and Tech. Conf., June 2010.
[15]
C. C. Lee et al. An overview of the development of a GPU with integrated HBM on silicon interposer. In IEEE 66th Electronic Components and Tech. Conf., May 2016.
[16]
S. Li et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In 42nd IEEE/ACM Intl. Symp. Microarchitecture, Dec 2009.
[17]
K. Low. Samsung foundry's business strategy. http://semiengineering.com/samsung-foundrys-business-strategy/, April 2016.
[18]
N. Pantano et al. Technology optimization for high bandwidth density applications on 3D interposer. In 6th Electronic Sys.-Integration Tech. Conf., Sept 2016.
[19]
K. Saban. Xilinx stacked silicon interconnect technology delivers breakthrough FPGA capacity, bandwidth and power efficiency. https://www.xilinx.corn/support/documentation/white_papers/wp380_Stacked_Silicon_Interconnect_Technology.pdf, Dec 2012.
[20]
M. J. Schulte et al. Achieving exascale capabilities through heterogeneous computing. IEEE Micro, 35(4), July 2015.
[21]
C. H. Stapper. Defect density distribution for LSI yield calculations. IEEE Trans. Electron Devices, 20(7), Jul 1973.
[22]
D. Stow et al. Cost analysis and cost-driven IP reuse methodology for SoC design based on 2.5D/3D integration. In IEEE/ACM Intl. Conf. Computer-Aided Design, Nov 2016.
[23]
D. Stow et al. Cost and thermal analysis of high-performance 2.5D and 3D integrated circuit design space. In IEEE Computer Society Annu. Symp. VLSI, July 2016.
[24]
S. Sutardja. 1.2 the future of IC design innovation. In IEEE Int. Solid-State Circuits Conf., Feb 2015.
[25]
D. Velenis et al. Processing active devices on Si interposer and impact on cost. In Int. 3D Systems Integration Conf., Aug 2015.
[26]
P. Vivet et al. 3D advanced integration technology for heterogeneous systems. In Int. 3D Systems Integration Conf., Aug 2015.
[27]
L. Wang et al. A high performance reliable NoC router. In 21st Asia and South Pacific Design Automation Conf., Jan 2016.
[28]
G. Yeric. Moore's law at 50: Are we planning for retirement? In IEEE Intl. Electron Devices Meeting., Dec 2015.
[29]
W. Zhao and Y. Cao. Predictive technology model for nano-CMOS design exploration. ACM J. Emerging Tech. Computing Systems, Apr. 2007.

Cited By

View all
  • (2018)Modular routing design for chiplet-based systemsProceedings of the 45th Annual International Symposium on Computer Architecture10.1109/ISCA.2018.00066(726-738)Online publication date: 2-Jun-2018
  1. Cost-effective design of scalable high-performance systems using active and passive interposers

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ICCAD '17: Proceedings of the 36th International Conference on Computer-Aided Design
      November 2017
      1077 pages

      Sponsors

      In-Cooperation

      • IEEE-EDS: Electronic Devices Society

      Publisher

      IEEE Press

      Publication History

      Published: 13 November 2017

      Check for updates

      Qualifiers

      • Research-article

      Conference

      ICCAD '17
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 457 of 1,762 submissions, 26%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)26
      • Downloads (Last 6 weeks)10
      Reflects downloads up to 11 Dec 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2018)Modular routing design for chiplet-based systemsProceedings of the 45th Annual International Symposium on Computer Architecture10.1109/ISCA.2018.00066(726-738)Online publication date: 2-Jun-2018

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media