[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.5555/2840819.2840887acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
tutorial

Variation-Aware Adaptive Tuning for Nanophotonic Interconnects

Published: 02 November 2015 Publication History

Abstract

Short-reach nanophotonic interconnects are promising to solve the communication bottleneck in data centers and chip-level scenarios. However, the nanophotonic interconnects are sensitive to process and thermal variations, especially for the microring structures, resulting in significant variation of an optical link's bit error rate (BER). In this paper, we propose a power-efficient adaptive tuning approach for nanophotonic interconnects to address the variation issues. During the adaptive tuning process, each nanophotonic interconnect is adaptively allocated just enough power to meet the BER requirement. The proposed adaptive tuning approach could reduce the photonic receiver power by 8% - 34% than the worst-case based fixed design while achieving the same BER. Our evaluation results show that the adaptive tuning approach scales well with the process variation, the thermal variation and the number of communication nodes, and can accommodate different types of NoC architectures and lasers.

References

[1]
R. G. Beausoleil, M. McLaren, and N. P. Jouppi, "Photonic architectures for high-performance data centers," Selected Topics in Quantum Electronics, IEEE Journal of, vol. 19, no. 2, pp. 3700109--3700109, 2013.
[2]
A. Joshi, C. Batten, Y.-J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic, "Silicon-photonic clos networks for global on-chip communication," in International Symposium on Networks-on-Chip, 2009.
[3]
D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn, "Corona: System implications of emerging nanophotonic technology," in Computer Architecture, International Symposium on, 2008.
[4]
N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi, "Leveraging optical technology in future bus-based chip multiprocessors," in International Symposium on Microarchitecture, 2006.
[5]
Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary, "Firefly: illuminating future network-on-chip with nanophotonics," in ACM SIGARCH Computer Architecture News, 2009.
[6]
C. Li, M. Browning, P. V. Gratz, and S. Palermo, "Luminoc: A power-efficient, high-performance, photonic network-on-chip," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 33, no. 6, pp. 826--838, 2014.
[7]
M. Petracca, B. G. Lee, K. Bergman, and L. P. Carloni, "Design exploration of optical interconnection networks for chip multiprocessors," in High Performance Interconnects (HOTI), IEEE Symposium on, 2008.
[8]
Y. Zheng, P. Lisherness, M. Gao, J. Bovington, K.-T. Cheng, H. Wang, and S. Yang, "Power-efficient calibration and reconfiguration for optical network-on-chip," Journal of Optical Communications and Networking, vol. 4, no. 12, pp. 955--966, 2012.
[9]
M. Georgas, J. Leu, B. Moss, C. Sun, and V. Stojanovic, "Addressing link-level design tradeoffs for integrated photonic interconnects," in Custom Integrated Circuits Conference (CICC), pp. 1--8, 2011.
[10]
Y. Xu, J. Yang, and R. Melhem, "Tolerating process variations in nanophotonic on-chip networks," in International Symposium on Computer Architecture, 2012.
[11]
C. Li, R. Bai, A. Shafik, E. Z. Tabasy, G. Tang, C. Ma, C.-H. Chen, Z. Peng, M. Fiorentino, P. Chiang, et al., "A ring-resonator-based silicon photonics transceiver with bias-based wavelength stabilization and adaptive-power-sensitivity receiver," in International Solid-State Circuits Conference (ISSCC), 2013.
[12]
X. Zheng, E. Chang, P. Amberg, I. Shubin, J. Lexau, F. Liu, H. Thacker, S. S. Djordjevic, S. Lin, Y. Luo, et al., "A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control," Optics express, vol. 22, no. 10, pp. 12628--12633, 2014.
[13]
Z. Li, M. Mohamed, X. Chen, E. Dudley, K. Meng, L. Shang, A. R. Mickelson, R. Joseph, M. Vachharajani, B. Schwartz, et al., "Reliability modeling and management of nanophotonic on-chip networks," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 20, no. 1, pp. 98--111, 2012.
[14]
Y. Ye, Z. Wang, P. Yang, J. Xu, X. Wu, X. Wang, M. Nikdast, and L. Duong, "System-level modeling and analysis of thermal effects in wdm-based optical networks-on-chip," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 33, no. 11, pp. 1718--1731, 2014.
[15]
D. Ding, B. Yu, and D. Z. Pan, "Glow: A global router for low-power thermal-reliable interconnect synthesis using photonic wavelength multiplexing," in Design Automation Conference (ASP-DAC),Asia and South Pacific, 2012.
[16]
J. Chan, G. Hendry, A. Biberman, K. Bergman, and L. P. Carloni, "PhoenixSim: A simulator for physical-layer analysis of chip-scale photonic interconnection networks," in Design, Automation & Test in Europe Conference & Exhibition (DATE), 2010.
[17]
C. Condrat, P. Kalla, and S. Blair, "Thermal-aware synthesis of integrated photonic ring resonators," in International Conference on Computer-Aided Design, 2014.
[18]
Z. Peng, D. Fattal, M. Fiorentino, and R. Beausoleil, "Fabrication variations in soi microrings for dwdm networks," in Group IV Photonics (GFP), 2010.
[19]
P. P. Absil, P. Verheyen, P. De Heyn, M. Pantouvaki, G. Lepage, J. De Coster, and J. Van Campenhout, "Silicon photonics integrated circuits: a manufacturing platform for high density, low power optical i/os," Optics Express, vol. 23, no. 7, pp. 9369--9378, 2015.
[20]
M. J. Heck and J. E. Bowers, "Energy efficient and energy proportional optical interconnects for multi-core processors: Driving the need for on-chip sources," Selected Topics in Quantum Electronics, IEEE Journal of, vol. 20, no. 4, pp. 332--343, 2014.
[21]
C. Zhang, S. Srinivasan, Y. Tang, M. J. Heck, M. L. Davenport, and J. E. Bowers, "Low threshold and high speed short cavity distributed feedback hybrid silicon lasers," Optics express, vol. 22, no. 9, pp. 10202--10209, 2014.
[22]
R. Wu, C.-H. Chen, J.-M. Fedeli, M. Fournier, K.-T. Cheng, and R. G. Beausoleil, "Compact models for carrier-injection silicon microring modulators," Optics Express, vol. 23, no. 12, pp. 15545--15554, 2015.
[23]
R. Ji, L. Yang, L. Zhang, Y. Tian, J. Ding, H. Chen, Y. Lu, P. Zhou, and W. Zhu, "Five-port optical router for photonic networks-on-chip," Optics express, vol. 19, no. 21, pp. 20258--20268, 2011.
[24]
N. Sherwood-Droz, H. Wang, L. Chen, B. G. Lee, A. Biberman, K. Bergman, and M. Lipson, "Optical 4x4 hitless slicon router for optical networks-on-chip (noc)," Optics express, vol. 16, no. 20, pp. 15915--15922, 2008.
[25]
M. Valad Beigi and G. Memik, "Min: a power efficient mechanism to mitigate the impact of process variations on nanophotonic networks," in International symposium on Low power electronics and design, pp. 299--302, 2014.
[26]
Y. Zheng, P. Lisherness, M. Gao, J. Bovington, S. Yang, and K.- T. Cheng, "Power-efficient calibration and reconfiguration for on-chip optical communication," in Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012.
[27]
C. Chen, T. Zhang, P. Contu, J. Klamkin, A. K. Coskun, and A. Joshi, "Sharing and placement of on-chip laser sources in silicon-photonic nocs," in Networks-on-Chip (NoCS), 2014.
[28]
C. Li, R. Bai, A. Shafik, E. Tabasy, B. Wang, G. Tang, C. Ma, C.-H. Chen, Z. Peng, M. Fiorentino, R. Beausoleil, P. Chiang, and S. Palermo, "Silicon photonic transceiver circuits with microring resonator bias-based wavelength stabilization in 65 nm cmos," Solid-State Circuits, IEEE Journal of, vol. 49, pp. 1419--1436, June 2014.
[29]
D. Hong and K.-T. Cheng, Efficient test methodologies for high-speed serial links. Springer Science & Business Media, 2009.
[30]
K. Yu, C.-H. Chen, A. Titriku, A. Shafik, M. Fiorentino, P. Y. Chiang, S. Palermo, et al., "25gb/s hybrid-integrated silicon photonic receiver with microring wavelength stabilization," in Optical Fiber Communication Conference, 2015.
[31]
C.-H. Chen, C. Li, A. Shafik, M. Fiorentino, P. Chiang, S. Palermo, and R. Beausoleil, "A wdm silicon photonic transmitter based on carrier-injection microring modulators," in Optical Interconnects Conference, 2014.
[32]
S. K. Selvaraja, P. De Heyn, G. Winroth, P. Ong, G. Lepage, C. Cailler, A. Rigny, K. Bourdelle, D. VanThourhout, J. Van Campenhout, et al., "Highly uniform and low-loss passive silicon photonics devices using a 300mm cmos platform," in Optical Fiber Communication Conference, 2014.
[33]
Y. Xie, M. Nikdast, J. Xu, X. Wu, W. Zhang, Y. Ye, X. Wang, Z. Wang, and W. Liu, "Formal worst-case analysis of crosstalk noise in mesh-based optical networks-on-chip," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 21, no. 10, pp. 1823--1836, 2013.

Cited By

View all
  • (2021)Towards All-optical Stochastic Computing Using Photonic Crystal NanocavitiesACM Journal on Emerging Technologies in Computing Systems10.1145/348487118:1(1-25)Online publication date: 22-Oct-2021
  • (2019)Bidirectional tuning of microring-based silicon photonic transceivers for optimal energy efficiencyProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287649(370-375)Online publication date: 21-Jan-2019
  • (2018)Pairing of microring-based silicon photonic transceivers for tuning power optimizationProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201636(135-140)Online publication date: 22-Jan-2018
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '15: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design
November 2015
955 pages
ISBN:9781467383899
  • General Chair:
  • Diana Marculescu,
  • Program Chair:
  • Frank Liu

Sponsors

Publisher

IEEE Press

Publication History

Published: 02 November 2015

Check for updates

Qualifiers

  • Tutorial
  • Research
  • Refereed limited

Conference

ICCAD '15
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)3
  • Downloads (Last 6 weeks)0
Reflects downloads up to 10 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2021)Towards All-optical Stochastic Computing Using Photonic Crystal NanocavitiesACM Journal on Emerging Technologies in Computing Systems10.1145/348487118:1(1-25)Online publication date: 22-Oct-2021
  • (2019)Bidirectional tuning of microring-based silicon photonic transceivers for optimal energy efficiencyProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287649(370-375)Online publication date: 21-Jan-2019
  • (2018)Pairing of microring-based silicon photonic transceivers for tuning power optimizationProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201636(135-140)Online publication date: 22-Jan-2018
  • (2018)A Process-Variation-Tolerant Method for Nanophotonic On-Chip NetworkACM Journal on Emerging Technologies in Computing Systems10.1145/320807314:2(1-23)Online publication date: 11-Jul-2018
  • (2017)Compact modeling and circuit-level simulation of silicon nanophotonic interconnectsProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130524(602-605)Online publication date: 27-Mar-2017
  • (2017)Energy and Performance Trade-off in Nanophotonic Interconnects using Coding TechniquesProceedings of the 54th Annual Design Automation Conference 201710.1145/3061639.3062237(1-6)Online publication date: 18-Jun-2017

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media