[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.5555/1899721.1899844acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Application-specific 3D Network-on-Chip design using simulated allocation

Published: 18 January 2010 Publication History

Abstract

Three-dimensional (3D) silicon integration technologies have provided new opportunities for Network-on-Chip (NoC) architecture design in Systems-on-Chip (SoCs). In this paper, we consider the application-specific NoC architecture design problem in a 3D environment. We present an efficient floorplan-aware 3D NoC synthesis algorithm, based on simulated allocation, a stochastic method for traffic flow routing, and accurate power and delay models for NoC components. We demonstrate that this method finds greatly improved topologies for various design objectives such as NoC power (average savings of 34%), network latency (average reduction of 35%) and chip temperature (average reduction of 20%).

References

[1]
K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat. 3-D ICs: A novel chip design for improving deep-submicrometer interconnect performance and systems. Proc. IEEE, 89(5), 2001.
[2]
L. Xue, C. C. Liu, H.-S. Kim, S. K. Kim, and S. Tiwari. Three-dimensional integration: technology, use, and issues for mixed-signal applications. IEEE Transactions on Electron Devices, 50(3):601--609, Mar. 2003.
[3]
W. R. Davis et al. Demystifying 3D ICs: The pros and cons of going vertical. IEEE Design & Test of Computers, 22(6):498--510, Nov.-Dec. 2005.
[4]
S. K. Lim. Physical design for 3D system on package. IEEE Design & Test of Computers, 22(6):532--539, Nov.-Dec. 2005.
[5]
L. Benini and G. D. Micheli. Networks on chips: A new SoC paradigm. Computer, 35(1):70--78, 2002.
[6]
W. J. Dally and B. Towles. Route packets, not wires: On-chip inteconnection networks. In Proc. DAC, pages 684--689, 2001.
[7]
C. Addo-Quaye. Thermal-aware mapping and placement for 3-D NoC designs. In IEEE International SOC Conference, pages 25--28, 2005.
[8]
V. F. Pavlidis and E. G. Friedman. 3-D topologies for networks-on-chip. IEEE Transactions on Very Large Scale Integration Systems, 15(10):1081--1090, 2007.
[9]
B. Feero and P. P. Pande. Performance evaluation for three-dimensional networks-on-chip. In Proc. ISVLSI, pages 305--310, 2007.
[10]
J. Kim et al. A novel dimensionally-decomposed router for on-chip communication in 3D architectures. In Proc. ISCA, pages 138--149, 2007.
[11]
H. Matsutani, M. Koibuchi, and H. Amano. Tightly-coupled multi-layer topologies for 3-D NoCs. In ICPP, pages 75--75, 2007.
[12]
F. Li et al. Design and management of 3D chip multiprocessors using network-in-memory. In Proc. ISCA, pages 130--141, 2006.
[13]
P. Morrow et al. Design and fabrication of 3D microprocessors. In Materials Research Society Symposium, 2007.
[14]
S. Yan and B. Lin. Design of application-specific 3D networks-on-chip architectures. In Proc. ICCD, pages 142--149, 2008.
[15]
S. Murali, C. Seiculescu, L. Benini, and G. D. Micheli. Synthesis of networks on chips for 3D systems on chips. In Proc. ASPDAC, pages 242--247, 2009.
[16]
T. Ahonen, H. Bin, and J. Nurmi. Topology optimization for application-specific networks-on-chip. In Proc. International Workshop on System Level Interconnect Prediction, pages 53--60, 2004.
[17]
K. Srinivasan, K. S. Chatha, and G. Konjevod. An automated technique for topology and route generation of application specific on-chip interconnection networks. In Proc. ICCAD, pages 231--237, 2005.
[18]
A. Hansson, K. Goossens, and A. Rădulescu. A unified approach to constrained mapping and routing on network-on-chip architectures. In Proc. CODES-ISSS, pages 75--80, 2005.
[19]
S. Murali et al. Designing application-specific networks on chips with floorplan information. In Proc. ICCAD, pages 355--362, 2006.
[20]
S. Yan and B. Lin. Application-specific network-on-chip architecture synthesis based on set partitions and Steiner trees. In Proc. ASPDAC, pages 277--282, 2008.
[21]
C. Seiculescu, S. Murali, L. Benini, and G. D. Micheli. SunFloor 3D: A Tool for Networks on Chip Topology Synthesis for 3D Systems on Chip. In Proc. DATE, 2009.
[22]
Y. Hu, H. Chen, Y. Zhu, A. A. Chien, and C.-K. Cheng. Physical synthesis of energy-efficient networks-on-chip through topology exploration and wire style optimizations. In Proc. ICCD, pages 111--118, 2005.
[23]
Y. Hu, Y. Zhu, H. Chen, R. Graham, and C.-K. Cheng. Communication latency aware low power NoC synthesis. In Proc. DAC, pages 574--579, 2006.
[24]
D. S. Mark, M. Karpovsky, and L. Zakrevski. Application of network calculus to general topologies using turn-prohibition. IEEE/ACM Transactions on Networking, 11(3):411--421, 2003.
[25]
M. Pióro and P. Gajowniczek. Solving multi commodity integral flow problems by simulated allocation. Telecommunication Systems, 7(1--3):17--28, 1997.
[26]
M. Pióro and D. Medhi. Routing, Flow, and Capacity Design in Communication and Computer Networks. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA, 2004.
[27]
U. Y. Ogras and R. Marculescu. Analytical router modeling for networks-on-chip performance analysis. In Proc. DATE, pages 1096--1101, 2007.
[28]
W.-L. Hung, G. M. Link, Yuan Xie, N. Vijaykrishnan, and M. J. Irwin. Interconnect and thermal-aware floorplanning for 3D microprocessors. In Proc. ISQED, pages 98--104, 2006.
[29]
H. Wang, X. Zhu, L.-S. Peh, and S. Malik. Orion: A power-performance simulator for interconnection networks. In MICRO 35, pages 294--305, 2002.
[30]
D. Greenfield, A. Banerjee, J.-G. Lee, and S. Moore. Implications of Rent's Rule for NoC design and its fault-tolerance. In Proc. NOCS, pages 283--294, 2007.

Cited By

View all
  • (2018)Performance and Thermal Tradeoffs for Energy-Efficient Monolithic 3D Network-on-ChipACM Transactions on Design Automation of Electronic Systems10.1145/322304623:5(1-25)Online publication date: 22-Aug-2018
  • (2012)Optimized 3D Network-on-Chip Design Using Simulated AllocationACM Transactions on Design Automation of Electronic Systems10.1145/2159542.215954417:2(1-19)Online publication date: 1-Apr-2012
  • (2011)Multi-objective topology synthesis and FPGA prototyping framework of application specific network-on-chipProceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI10.1145/1973009.1973021(55-60)Online publication date: 2-May-2011
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ASPDAC '10: Proceedings of the 2010 Asia and South Pacific Design Automation Conference
January 2010
920 pages
ISBN:9781605588377

Sponsors

Publisher

IEEE Press

Publication History

Published: 18 January 2010

Check for updates

Qualifiers

  • Research-article

Conference

ASPDAC '10
Sponsor:

Acceptance Rates

Overall Acceptance Rate 466 of 1,454 submissions, 32%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 26 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2018)Performance and Thermal Tradeoffs for Energy-Efficient Monolithic 3D Network-on-ChipACM Transactions on Design Automation of Electronic Systems10.1145/322304623:5(1-25)Online publication date: 22-Aug-2018
  • (2012)Optimized 3D Network-on-Chip Design Using Simulated AllocationACM Transactions on Design Automation of Electronic Systems10.1145/2159542.215954417:2(1-19)Online publication date: 1-Apr-2012
  • (2011)Multi-objective topology synthesis and FPGA prototyping framework of application specific network-on-chipProceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI10.1145/1973009.1973021(55-60)Online publication date: 2-May-2011
  • (2011)VISIONProceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI10.1145/1973009.1973017(31-36)Online publication date: 2-May-2011

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media